ЦИФРОВЫЕ УСТРОЙСТВА

         

БЛОКИ ПИТАНИЯ ЦИФРОВЫХ УСТРОЙСТВ


Цифровые устройства, собираемые радиолюбителями на микросхе­мах серии KJ55, требуют напряжения питания 5 В при токах от долей ампера до единиц ампер. Блоки питания таких устройств обычно собирают по тра« диционной схеме трансформатор — диодный мост — емкостный фильтр — стаби­лизатор. Схема одного из вариантов блока питания, пригодного для всех они» санных выше устройств на ИС серии КД55, приведена на рис. 87.

Рис. 87. Схема блока питания с низкочастотным трансформатором

Трансформатор 77 намотан на магнитопроводе ШЛ16Х25, обмотка I со­держит 1900 витков провода ПЭВ-1 диаметром 0,15 мм, II — 90 витков ПЭВ-2 диаметром 0,64 мм, III — 270 витков ПЭВ-1 диаметром 0,15 мм, IV — 22 вит­ка того же провода, V — 2000 витков провода 0,1 мм. Естественно, что нужно намотать лишь необходимые для питания данного устройства обмотки. Тран­зистор VT7 необходимо установить на радиатор.

При наличии микросхемы К142ЕН1 с любым буквенным индексом стаби­лизатор можно собрать по схеме рис. 72.

Блоки питания с низкочастотным трансформатором имеют значительные габариты и массу, нередко существенно превосходящие габариты и массу са­мих устройств.

Использование высоковольтных транзисторов серий КТ604, КТ605, КТ704, КТ809, КТ824 позволяет строить блоки питания с преобразованием напряже­ния на высокой частоте, имеющие меньшие массу и габариты, чем собранные по традиционной схеме.

Такие блоки питания строятся по схеме выпрямитель напряжения сети — емкостный фильтр — преобразователь постоянного напряжения в перемен­ное с частотой 20 — 40 кГц с понижающим трансформатором на ферритовом кольцевом магнитопроводе выпрямитель — фильтр и, при необходимости, ста­билизатор.

Ниже описаны два блока питания цифровых устройств. Первый из них обеспечивает выходное нестабилизированное напряжение около 5 В при токе нагрузки до 0,8 А и предназначен для питания, электронных часов и цифро­вого таймера. Второй обеспечивает стабилизированные напряжения +5 В 1 А, + 12,6 В и — 12,6 В 100 мА и нестабилизированное напряжение +2О0 В 10 мА и может быть использован для питания цифрового частотомера или мультиметра.




Рис. 88. Полумостовой пре­образователь

В основе обоих блоков питания лежит так называемый полумостовой пре­образователь напряжения (рис. 88). Первичная обмотка трансформатора преобразователя включена в диагональ моста, образо­ванного двумя последовательно включенными тран­зисторами и двумя конденсаторами. В отличие от обычно используемой в преобразователях с низким входным напряжением схеме, в которой полное вход­ное напряжение поочередно подается к двум поло­винам первичной обмотки, в полумостовом преобра­зователе ко всей первичной обмотке прикладывается половина напряжения питания, в результате чего чи­сло витков первичной обмотки можно сделать в 4 раза меньшим. Более важным преимуществом полу-мостового преобразователя перед упомянутой схемой является вдвое меньшее напряжение, прикладываемое к транзисторам. Это напряжение в полумостовом преобразователе равно напряжению питания (около 300 В при напряжении се­ти 220 В), тогда как в обычно используемой схеме — удвоенному напряжению питания.

В преобразователях напряжения мощностью в сотни ватт используются также мостовые схемы, в которых первичная обмотка трансформатора вклю­чена в диагональ моста из четырех транзисторов, но такие преобразователи заметно сложнее полумостовых.

Рассмотрим схему первого блока питания (рис. 89). Сетевое напряжение выпрямляется мостом VD1, пульсации сглаживаются конденсатором СЗ. Мост преобразователя образован конденсаторами С4, С5 и транзисторами VT6 — VT9. Для обеспечения необходимой выходной мощности в каждое плечо включено параллельно по два транзистора типа КТ605А.



Рис. 89. Схема блока питания для таймера или электронных часов

Преобразователь имеет специальную цепь запуска, собранную на транзи­сторе VT10 и элементах С12, R8 — R10. Транзистор VT10 типа КТ315Б рабо­тает в лавинном режиме. После включения питания конденсатор С12 заря­жается через резистор R8. Когда напряжение на коллекторе VT10 достигает 40 — 60 В, он лавинообразно включается и конденсатор С12 разряжается.




Ток разряда ограничен резистором R10, длительность определяется постоянной вре­мени C12R10.

Импульс тока включает транзистор и запускает преобразователь. Отри­цательные полупериоды напряжения с базы VT9 прикладываются к эмиттеру VTIO и периодически включают этот транзистор. В результате конденсатор С12 поддерживается в разряженном состоянии и колебаний в цепи запуска больше не возникает. Если по какой-либо причине колебания в преобразовате­ле сорвутся, конденсатор С12 вновь начнет заряжаться, цепь запуска вновь выдаст импульс и преобразователь запустится.

Напряжение с двух половин вторичной обмотки выпрямляется диодами VD4, VD5, пульсации сглаживаются конденсаторами С6 и С7. Амплитуда пульсаций от пика до пика на выходе блока питания составляет около 0,3 В.

Ток, потребляемый блоком от сети, имеет вид коротких импульсов, заряжаю­щих конденсатор СЗ. Этот ток, протекая через первичную обмотку трансформа­тора Т1, наводит во вторичной обмотке импульсы напряжения.

После ограничения стабилитроном. VD2 и фильтрации в цепи C1R2C2 им­пульсы поступают через эмиттерный повторитель на дополнительный выход блока и используются в таймере после деления до 11 Гц в качестве счетных импульсов. В электронных часах от блока питания не требуется выдачи им­пульсов с частотой сети, поэтому элементы цепи их формирования можно ис­ключить, а резистор R1, ограничивающий бросок тока через VD1 при вклю­чении блока, необходимо увеличить до 36 Ом.

В блоке питания использованы конденсаторы типа К73-17 на рабочее на­пряжение 250 В (С4, С5), К50-29 (СЗ), КМ-6 (С1, С7), КМ-5а (С2, С8 — С12), резисторы типа МТ. Транзисторы КТ605А можно заменить на КТ604А. В ка­честве трансформатора Т1 использован импульсный трансформатор И49, имею­щий две одинаковые обмотки с индуктивностью по 29 мГн. Такой трансфор­матор можно намотать на кольцевом магнитопроводе из феррита МЗООО ти­поразмера К10Х6Х5. Каждая из его обмоток должна содержать по 150 вит­ков провода ПЭЛШО-0,12.



Трансформатор Т2 намотан на кольцевом магнитопроводе из феррита МЗООО типоразмера К32Х20Х6. Обмотка I содержит 150 витков провода ПЭЛШО-0,27, обмотка 11 — 2x6 витков ПЭВ-2 — 0,8 мм, III — IV — по 4 витка ПЭЛШО-0,27. Обмотки следует тщательно изолировать друг от друга, можно использовать для этой цели полиэтиленовую пленку. Обмотка I и каждая по-.ловина обмотки II должны быть равномерно распределены по окружности -кольца. При указанных параметрах трансформатора Т2 частота преобразова­ния составляет около 30 кГц. Если трансформатор намотать на магнитоиро-воде из феррита М2000 типоразмера К28Х16Х9 с сохранением указанного числа витков, частота преобразования составит около 16 кГц.

Блок питания при аккуратном монтаже может быть собран в объеме галь­ванической батареи 3336. Налаживания блок не требует. Выходное напря-.жение блока должно составлять около 5 В при токе нагрузки 0,3 А и 4,8 В при 0,8 А. Если выходное напряжение отличается от указанных значений, не­обходимо подобрать число витков первичной обмотки Т2. Если преобразова­тель при включении не запускается, необходимо проверить осциллографом с закрытым входом напряжение на коллекторе VT10 — оно должно иметь вид пилообразных колебаний с частотой около нескольких сотен герц. Если коле­бания отсутствуют, необходимо заменить VT10.

Хорошей формы импульсов с частотой 50 Гц можно добиться, зашунти-ровав первичную обмотку Т1 резистором R* с сопротивлением несколько де­сятков омов, а также подобрав, при необходимости, емкость конденсаторов С1 и С2.

Однотрансформаторный преобразователь описанного блока имеет относи­тельно низкий кпд — около 50%- Почти половина потребляемой от сети мощ­ности рассеивается в трансформаторе Т2, магнитопровод которого работает в насыщенном режиме. Вторым и более серьезным недостатком однотрансфор-маторного преобразователя является наличие сквозных токов через транзи­сторы. Дело в том, что закрытые транзисторы начинают открываться одно­временно с началом закрывания открытых транзисторов в момент насыщения трансформатора.


Открывание транзисторов происходит всегда быстрее, чем за­крывание, в результате чего некоторое время (около 1 икс) транзисторы обо­их плеч моста преобразователя оказываются включенными. Спасает транзисто­ры КТ605А от выхода их из строя относительно высокое сопротивление в со--стоянии насыщения. Попытка установить в однотрансформаторный преобразо­ватель транзисторы с малым сопротивлением насыщения приводит к их бы­строму выходу из строя.

Поэтому при требуемой во вторичной цепи мощности более 5 Вт более целесообразно применение двухтрансформаторных преобразователей, не име­ющих указанных недостатков.

Схема блока питания с двухтрансформаторным преобразователем приве­дена на рис. 90. Первичная обмотка основного трансформатора преобразова­теля Т2 включена в диагональ моста, образованного транзисторами VT5, VT6 -и конденсаторами СЗ и С4.

Параметры трансформатора рассчитаны так, что его магнитопровод не вхо­дит в насыщение, поэтому потери в нем невелики. Базовые цепи транзисто­ров VT5 и VT6 получают питание от вторичных обмоток трансформатора Т1, магнитопровод которого может входить в насыщение, однако из-за малых раз­меров магнитопровода потери в нем относительно малы.

Отсутствие сквозных токов через транзисторы преобразователя объясняет­ся следующим. Ток базы включенного транзистора уменьшается во времени за счет увеличения тока намагничивания трансформатора VT1. Когда ток ба­зы станет меньше необходимого для поддержания транзистора в насыщенном состоянии, транзистор начнет выключаться, но лишь после прекращения тока j через него напряжение на первичной обмотке Т2 начнет изменяться, и только в результате этого начнет включаться транзистор второго плеча преобразова­теля. Таким образом транзисторы двухтрансформаторного преобразователя не бывают включенными одновременно, что исключает появление сквозных токов. Однако это верно лишь в том случае, если основной трансформатор преоб­разователя не входит в режим насыщения.


Если же трансформаторы рассчи­таны неправильно и трансформатор Т2 входит в насыщение раньше, чем ток базы уменьшается до нуля, появляются сквозные токи транзисторов VT5 и VT6 и они выходят из строя.



Рис. 90. Схема блока питания для частотомера или мультиметра

Использование довольно мощных диодов в выпрямителе сетевого напря­жения объясняется необходимостью для уменьшения потерь до предела снизить номинальное значение ограничительного резистора R1. Дроссели L1 и L2 уменьшают проникновение высокочастотных помех от преобразователя в сеть. Резистор R7 разряжает СЗ и облегчает запуск преобразователя при повтор­ных включениях. Подстройкой резистора R6 можно в широких пределах менять частоту работы преобразователя.

Блок питания собран на печатной плате размером 155x80 мм из двусто­роннего фольгированного стеклотекстолита толщиной 1,5 мм.

На стороне установки элементов фольга сохранена полностью и выполня­ет роль общего провода и экрана. Расположение проводников на противопо­ложной стороне показано на рис. 91. Отверстия, кроме помеченных на рис. 91 дрестиками, означающими пайку к фольге общего провода, на стороне установки элементов раззенкованы. Диоды VD1 — VD4, транзисторы VT5, VT6 и подстроечный резистор R6 укреплены на небольших платах из двустороннего стеклотекстолита, подпаянных перпендикулярно к фольге общего провода. Вы­сота плат 27 мм.

Для подключения к устройству, в котором используется блок питания, в печатную плату впаяны штырьки диаметром 1 мм от разъема ШР. Два штырь­ка, обозначенные на рис. 90 и 91 буквой I, предназначены для контроля то­ка, потребляемого преобразователем при настройке (после настройки между ними устанавливается перемычка), штырек F — для контроля частоты.

Интегральная микросхема DA3 установлена в окне печатной платы, она закреплена двумя винтами JVL2.5 на радиаторе, который, в свою очередь, кре­пится вплотную к печатной плате. Радиатор игольчатый, с размерами 40X Х40Х27 мм.

В блоке питания использованы резисторы МТ, конденсаторы К.50-29 (С1, С2), К73-17 (СЗ, С4, С6), КМ-5а (С5, С9, С13), КМ-6 (С7, С8, СИ, CJ2, С14 — С19), стандартные дроссели Д-1,0 (LI, L2), ДМ-0,1 (L3 — L5), ДМ-2,4 (L6). Подстроечный резистор R6 типа СП5-16ВБ-0.5 Вт.



Транзисторы VT5 и VT6 должны иметь близкие коэффициенты усиления, их можно заменить на КТ704, КТ812, КТ824 с любым буквенным индексом, К.Т809А. Выпрямитель VD10 можно собрать из четырех диодов КД104А, а вместо диодов VD11 — VD18 использовать КД509А или сборки КЦ407А, КД906Л, К142НД1, К142НД4, К142НД5. Диоды КД213А можно заменить на КД213Б или КД212А.

Трансформатор 77 намотан на кольцевом магнитопроводе из феррита M3000 типоразмера К10Х6Х5. Первичная обмотка содержит 30 витков, вторичные — по 6 витков провода ПЭЛШО-0,27. Трансформатор Т2 намотан на кольцевом магнитопроводе М2000 типоразмера К28Х16Х9. Обмотка I содержит 110 вит--ков провода ПЭЛШО-0,27, обмотка II — 160 витков ПЭЛШО-0,1, III и IV — по 16 витков ПЭЛШО-0,27, V — 2X8 витков ПЭВ-2-1,0. Витки обмоток сле­дует равномерно распределить по магнитопроводу (для обмотки V — каждую половину).

Для настройки к контактам I следует подключить миллиамперметр на 100 мА, к выходу стабилизатора 5 В — резистор 5 Ом 5 Вт, к контакту F и общему проводу — частотомер и (или) осциллограф.

Резистор Д6 следует установить в положение максимального сопротивле­ния, после чего включить блок питания. После возникновения генерации не­обходимо сразу же измерить ее частоту и, если она менее 20 кГц, сразу же отключить блок от сети. Если частота превышает 20 кГц, ее следует умень­шить, плавно вращая ось резистора R6. При уменьшении частоты ток потреб­ления, измеряемый прибором (примерно 45 мА), незначительно увеличивается, однако при частоте около 20 кГц начинается резкое возрастание тока, что указывает на переход трансформатора преобразователя в насыщенный ре­жим р появление сквозных токов транзисторов. Частоту преобразователя це­лесообразно установить примерно в 2 раза большей частоты, при которой про­исходит резкое увеличение потребляемого тока. Хотя при несколько большей частоте ток потребления снижается, увеличивать ее не следует из-за ухудше­ния условия возбуждения преобразователя.



Рис. 91. Печатная плата блока питания



Если подстройкой R6 нельзя установить необходимой частоты, можно из­менить число витков Т1, сохранив коэффициент трансформации. Для снижения частоты необходимо увеличить число витков, для увеличения — умень­шить.

Подключив к выходам ±12,6 В резисторы с сопротивлением 120 — 150 Ом 2 Вт, следует подобрать резисторы R8-R11 для получения необходимого вы­ходного напряжения, при этом нельзя увеличивать R9 и JR.11 более 1,5 кОм.

Блок питания необходимо поместить в перфорированный латунный экран. Если прибор с таким блоком собран в металлическом корпусе, достаточно от­делить его от остальных элементов прибора металлическим экраном или пла­стиной фольгированного стеклотекстолита.

Если стабилизатор напряжения собран по схеме рис. 90 с использова­нием микросхемы К142ЕН5А, стабилизатор напряжения в частотомере по схе­ме рис. 72 не нужен. При отсутствии микросхемы К.142ЕН5А следует сохра­нить фильтр C15C16L6C17C18, а стабилизатор собрать по схеме рис. 72.



ЦИФРОВАЯ ШКАЛА


Описываемое устройство может использоваться с трансивером или радиоприемником, частота которого определяется частотами одного, двух или трех генераторов.

Принцип работы шкалы в трансивере с тремя генераторами состоит в по­очередном счете импульсов с частотой диапазонного F1, плавного F2 и опор­ного F3 генераторов в реверсивном счетчике за строго определенные периоды времени.

Рассмотрим в качестве примера широко распространенный вариант вы­бора частот гетеродинов, использованный в трансивере UW3DI: частота F1 в зависимости от диапазона лежит в пределах от 8 до 23 МГц, частота F2 изменяется в диапазоне 5,5 — 6,0 МГц, частота F3 составляет 500 кГц. При этом выходная частота составляет F=F1 + F2+F3 для диапазонов 28, 21 и 14 МГц и F = F1 — F2 — F3 для диапазонов 7 и 3,5 МГц.

Рис. 75. Схема блока управления цифровой шкалы

 

Схема цифровой шкалы приведена на рис. 75 и 76. Измеряемые часто­ты поступают на входы Fl, F2, F3 и формируются в усилителях-ограничите­лях на транзисторах VT8, VT9, VT10. Их схемы идентичны, за исключением того, что емкость конденсатора, шунтирующего входной резистор в каналах F2 и F3, увеличена до 75 пФ. Частота F1 может превышать допустимую для ин­тегральных микросхем К155ИЕ6, использованных в реверсивном счетчике, па-этому она предварительно делится на два триггером DD5.2 серии К131.

Рис. 76. Кварцевый reнератор и счетчик цифро­вой шкалы

Сигнал эталонной частоты 1 МГц поступает на декадный делитель ча­стоты DD1 — DD4 (см. рис. 75), с его выхода сигнал с частотой 100 Гц по­дается на вход формирователя временных интервалов, выполненного на ИС DD5.1, DD6, DD9, DD11 и элементах DD10.3, DD10.4. Работа формирователя иллюстрируется рис. 77. Импульсы с частотой 20 Гц поступают с выходов триггеров DD5.1, DD6.1, DD6.2 (см. рис. 75) на входы элементов DD9.1, DD9.2 и DD9.3, выполняющих функции дешифраторов и клапанов. Элемент DD9.1 пропускает один из каждых пяти импульсов последовательности ТИ2 с выхода 11 DD4. Эти импульсы устанавливают исходное состояние ревер­сивного счетчика.
Затем импульс В с выхода 6 DD6. 1 длительностью 20 мс, поступая на вход R триггера DD5.2, разрешает деление частоты F1 в этом триггере и частота F1/2 проходит через элементы DD10.3 и DD10.4 на вход сложения реверсивного счетчика.

  


Рис. 77. Диаграмма работы формирователя временных интервалов

Рис. 78. Диаграмма работы устройства динамической индикации

Элемент DD9.2 разрешает прохождение на реверсивный счетчик в тече» ние 10 мс импульсов с частотой F2, элемент DD9.2 — импульсов с частотоШ F3. Эти импульсы поступают на вход сложения или вычитания реверсивного счетчика в зависимости от логического уровня сигнала, поступающего на вход Управление шкалы. Если на входе Управление логический 0, то включен логи­ческий элемент DD11.3 и импульсы частот F2 и F3 проходят на вход сложе­ния (диапазоны 14, 21, 28 МГц). Если на входе Управление 1, то включен DD11.1 и импульсы проходят на вход вычитания (диапазоны 3,5 и 7 МГц). Управляющий сигнал может определяться переключателем диапазонов тран-сивера — на диапазонах 28, 21 и 14 МГц вход управления должен быть со­единен с общим проводом, на остальных оставлен свободным.

В результате в реверсивный счетчик записывается число, в 100 раз мень­шее частоты трансивера, выраженной в герцах.

Коротким импульсом с выхода элемента DD10.2 производится перепись результата из счетчика DD15 — DD20 в сдвигающий регистр DD21 — DD26 (см. рис. 76). Индикация результата производится динамическим способом на ва­куумном восьмиразрядном люминесцентном индикаторе HG1 типа ИВ-21. Ра­бота элементов DD7, DD8, DD12, DD13 и транзисторов матриц VT11 — VT14, обеспечивающих динамический режим работы индикатора, иллюстрируется рис. 78. На входы элемента И — НЕ DD8.1 (см. рис. 75) подаются сигналы с частотами 100, 10, 5 и 1 кГц, в результате чего на выходе DD10.1 форми­руются пачки из четырех импульсов каждая, следующие друг за другом с ча­стотой 1 кГц. Частота повторения импульсов внутри пачки — 100 кГц. Сфор­мированные пачки подаются на вход сдвига сдвигающего регистра DD21 — DD26 (см.


рис. 76), замкнутого в кольцо. На выходах последних четырех раз­рядов сдвигающего регистра (DD26) последовательно формируются коды, со­ответствующие цифрам, которые необходимо индицировать. Коды цифр по­даются через преобразователь двоично-десятичного кода в код семисегментного индикатора DD12 (см. рис. 75) и транзисторы транзисторных матриц VT11, VT12 — на соответствующие аноды индикатора HG1. Одновременно с каждой подачей пачки из четырех импульсов на счетный вход счетчика DD7 подается импульс, переключающий его в новое состояние. Выходы счетчика соединены со входами дешифратора DD13, выходы дешифратора через тран­зисторы матриц VT13, VT14 управляют сетками индикатора HG1. В резуль­тате в индикаторе поочередно зажигаются необходимые цифры.

После установки в 0 счетчика DD7, происходящей одновременно с пере­писью информации из реверсивного счетчика в сдвигающий регистр, на вы­ходах DD26 формируется код цифры десятков мегагерц. Одновременно на сет­ку седьмой цифры индикатора ИВ-21 (счет цифр в нем ведется справа на­лево) подается положительное относительно катода напряжение, и загорается соответствующая цифра. Спустя 1 мс подается пачка импульсов, на выходе DD26 появляется код цифры единиц мегагерц, на сетку шестой цифры ин­дикатора подается положительное напряжение и т. д. Одновременно с зажи­ганием шестой цифры положительное напряжение подается и на анод запя­той, в результате чего на индикаторе цифры мегагерц от остальных цифр отделяются запятой.

Импульсы переписи информации имеют частоту 20 Гц, импульсы на сет­ках индикаторов — 167 Гц, в результате чего в каждом цикле измерения каж­дая цифра загорается 8 раз. Для исключения подсветки сегментов в момен­ты сдвига на вход гашения S преобразователя кода DD12 подаются гасящие импульсы с выхода DD8.2 с частотой 1 кГц.

Примененный способ динамической индикации по сравнению с исполь­зованием мультиплексеров требует меньшего количества ИС и значительно бо­лее прост в монтаже цепей.



Питание индикатора HG1 осуществляется от мостового выпрямителя на диодной матрице VD1 с конденсатором С1. Плюс выпрямленного напряжения соединен с плюсом источника 5 В, минус — через стабилитрон VD2 и диоды VD3, VD4 с катодом индикатора.

Диоды VD3 и VD4 образуют искусственную среднюю точку напряжения накала HG1, стабилитрон VD2 обеспечивает запирающее напряжение на сет­ках цифр, индикация которых в данный момент не производится.

В счетчике DD15 — DD20 (см. рис. 76), как указывалось выше, алгебраи-. чески суммируются результаты измерения трех частот. Из-за произвольного со­отношения фаз измеряемых частот и эталонной частоты 1 МГц каждая из ча­стот измеряется со случайной ошибкой в единицу младшего разряда. Полная ошибка может достигать трех единиц, причем величина ошибки для каждого цикла измерений случайна. В результате цифра сотен герц может хаотически изменяться 20 раз в секунду.

Для уменьшения этого явления триггер DD5.2 устанавливается в фикси­рованное состояние перед началом счета частоты F1, что уменьшает неопреде­ленность его начальной фазы. Кроме того, вход младшего разряда ИС DD21 соединен с общим проводом, в результате чего индицируемая цифра сотен герц всегда четная и диапазон хаотического изменения цифр сотен герц сни­жен до возможного минимума — одного знака. .

Конструктивно цифровая шкала выполнена на двух двусторонних печат­ных платах размером 85Xil30 мм из стеклотекстолита толщиной 1 мм.

На печатной плате с реверсивным счетчиком и сдвигающим регистром рас­положен также кварцевый генератор на ИС DD14. Платы соединены между собой четырьмя стойками высотой 22 мм. Выводы индикатора HG1 впаяны непосредственно в отверстия первой печатной платы, а сам индикатор уста­новлен в промежутке между печатными платами. Вся конструкция помещена в алюминиевый корпус с габаритными размерами 33x135x90 мм. Верхняя и нижняя стенки корпуса имеют вентиляционные отверстия. Передняя стенка корпуса изготовлена из зеленого органического стекла.



К трансиверу шкала подключается через разъем РШ5-15ГВ, установлен­ный на задней стенке корпуса. Для питания шкалы необходимы переменные напряжения 30 В 5 мА, 2,4 В 35 мА и стабилизированное постоянное напря­жения 5 В 1 А. Обмотки трансформатора 30 В и 2,4 В должны быть изолиро­ваны между собой и от других цепей.

Напряжения измеряемых частот Fl, F2, F3 могут находиться в пределах 0,2 — 5 В.

Частота кварцевого генератора может быть кратной 100 кГц в пределах от 100 кГц до 1 МГц, 1,2 или 1,6 МГц. Для получения на выходе делителя частоты 100 кГц следует использовать микросхемы К155ИЕ2, К155ИЕ4 или К.155ИЕ5 в режиме соответствующего коэффициента деления частоты, соединив выводы ИС в соответствии с табл. 2.

Если в трансивере производится вычитание только одной частоты, вы­ход 12 DD9.2 следует подключить к дополнительному входу DD10.3, в каче­стве которого необходимо установить трехвходовой элемент И — НЕ, а выходы 1 и 2 DD11.4 объединить. При таком изменении частота F2 всегда будет по­даваться только на вход сложения.

При использовании шкалы в радиовещательном приемнике .вместо уста­новки реверсивного счетчика перед началом счета в 0 необходима запись в счетчик числа, соответствующего промежуточной частоте. Если в приемнике один гетеродин, частота которого всегда выше принимаемой, а промежуточ­ная частота 465 кГц, в счетчик необходимо записать число 99 535 кГц. В этом случае при подаче сигнала с частотой гетеродина на вход F1 будет проис­ходить переполнение счетчика и на HG1 будет индицироваться частота приема.

Для предварительной записи в счетчик некоторого числа к общему про­воду необходимо подключать только часть входов Dl — D8 микросхем счет­чика. При промежуточной частоте 465 кГц необходимо записать число 99 535 кГц, для чего у ИС DD20 и DD19 соединить с общим проводом входы D2 и D4 (запись числа 9), у DD18 и DD16 — входы D2 и D8 (число 5), у DD17 — входы D4 и D8 (число 3), у DD15 — все входы D (число 0).

Поскольку при одном гетеродине входы F2 и F3 не нужны, элементы усилителей-ограничителей этих каналов можно не устанавливать, а выводы 1 и 5 DD9 соединить с общим проводом.



При отсутствии микросхемы К514ИД1 вместо нее можно использовать К514ИД2, включив транзисторы матриц VT11 и VT12 аналогично транзисто­рам VT13 и VT14, дополнительно установив между базами транзисторов и выходами микросхемы К514ИД2 резисторы с сопротивлением 1,5 кОм.

Интегральные микросхемы серии К155 можно заменить аналогичными ИС серии К133, ИС К131ТМ2 на К130ТМ2. В усилителях-ограничителях транзи­сторы КТ316А можно заменить на КТ316 с любыми буквенными индексами или другими импульсными транзисторами с временем рассасывания не более

15 не, диоды КД503А — любыми кремниевыми диодами. В качестве VDJ мож­но использовать любые диоды с рабочим напряжением не менее 50 В, в ка­честве VD2 любой стабилитрон на 6 — 10 В.

Индикатор ИВ-31 можно заменить на ИВ-18, увеличив напряжение на­кала до 5 В, или шестью любыми одноместными вакуумными люминесцент­ными индикаторами, установив соответствующее напряжение питания.



Рнс. 79. Схема устройства динамической индика­ции с использованием мультиплексеров

Транзисторные матрицы КТС622А можно заменить любы­ми кремниевыми р — n — р-транзи-сторами с допустимым напряже­нием коллектор — эмиттер не ме­нее 40 В.

При отсутствии ошибок в ис­правных деталях в шкале при на­стройке необходимо лишь устано­вить точно частоту кварцевого ге­нератора подбором емкости кон- денсаторов С14 и С15. Если даже при замене С15 перемычкой часто­ту генератора не удается снизить до необходимой, можно на место» С15 установить дроссель с индук­тивностью 5 — 20 мкГн.

На рис. 79 приведен вариант-схемы динамической индикации с использованием мультиплексеров КЦ55КП7. В этом случае сдвигаю­щий регистр заменяют статиче­ским регистром на микросхемах К155ТМ5 или К155ТМ7, можно сохранить К155ИР1. Вместо сдви­га используется опрос содержимо­го регистра памяти мультиплексерами DD27 — DD30. Микросхема DD8 и элемент-DD10.1 при этом не нужны, вход 5 DD12 надо оставить свободным.

Устройство динамической индикации с использованием мультиплексеров-.


сложнее устройства со сдвигающим регистром, если необходим промежуточный-регистр хранения информации. Если же такой регистр не требуется, например-при индикации показаний электронных часов, схема с мультиплексерами тре­бует меньшего количества ИС. Поэтому в случае объединения цифровой шка- -лы и электронных часов, собранных, например, по схеме рис. 40, можно per комендовать схему динамической индикации с использованием мультиплексе­ров. В этом случае в качестве DD27 — DD30 (см. рис. 79) необходимо уста­новить мультиплексеры К156КП1, в качестве DD13 — дешифратор К.155ИДЗ,. включив дополнительно между его выходами и базами двенадцати ключевых транзисторов резисторы сопротивлением 1,5 кОм. Счетчик DD7 должен рабо­тать в режиме деления на 12. Индикация должна осуществляться на двух. индикаторах ИВ-21 или ИВ-18, аноды которых объединены. Между выхода­ми интегральных микросхем часов и входами мультиплексеров установки ре­гистра памяти не требуется.

При использовании в качестве DD27 — DD30 интегральных микросхем К155КП1 или К155КП5, имеющих только инверсные выходы, необходимо меж­ду их выходами и входами DD12 включить инверторы, например одну микро­схему К155ЛАЗ.

Фронты импульсов на выходах интегральных микросхем серии К155 име­ют малую длительность, что является источником заметных помех во входном тракте трансивера или радиоприемника. Для исключения помех все цепи пи­тания целесообразно вводить в корпус шкалы через Г-образные Z-C-фильтры с использованием дросселей на 20 — 100 мкГн и проходных конденсаторов ем­костью 4700 пФ, необходим также хороший электрический контакт между кор­пусами шкалы и прибора, в который она встроена.



ГЕНЕРАТОР АККОРДОВ ДЛЯ ЭМИ


Описываемый генератор аккордов для электромузыкальных инстру­ментов позволяет получать на выходе аккорды мажор, минор, септ-аккорд на­жатием на одну клавишу. Схема генератора приведена на рис. 86.

Входы четырех мультиплексеров DD5 — DD8 подключены к выходам соот­ветствующих делителей ЭМИ. Элементы DD1 — DD3 образуют шифратор кода 1 — 2 — 4 — 8. При нажатии на любую из кнопок переключателя SB1 на выходе шифратора образуется код, соответствующий нажатой кнопке. При нажатии, например, на кнопку SB1.7 (фа-диез) выключаются элементы DD2 и DD3-1 и на выходе шифратора появляется код 0110. Этот код поступает на адрес­ные входы мультиплексеров DD5 и DD6, и на выходах появляются сигналы со входов 6 этих микросхем — фа-диез 1-й октавы и до-диез 2-й. На входы мультиплексеров DD7 и DD8 тот же код поступает через сумматоры DD9 в DD10. На вторые входы сумматора DD9 может также поступить код О0012=1ю при включении переключателя SA2.1 (мажор) или SA2.3 [септ-ак­корд). На вторые входы DD10 при нажатии SA2.1 (мажор) или SA2.2 (ми-пор) поступит код 00102=2io. Эти коды в сумматорах складываются с кодом с выхода шифратора и, поступая на адресные входы DD7 и DD8, сдвигают номера входов микросхем DD7 и DD8, сигналы с которых поступают на их выходы.

Рис. 86. Схема генерато­ра аккордов

Будем считать, для определенности, что включены SA2.1 nSA2.7. В этом случае на входах DD9 присутствуют коды 0110 и 0001, следовательно, на вы­ходе DD9 код суммы — 01112=7ю. Этот код определяет прохождение на вы­ход DD7 сигнала со входа 7 этой микросхемы — ля-диез 1-й октавы. Анало­гично на входах DD10 коды ОНО и 0010, на выходе — 10002-810. На выход DD8 проходит сигнал со входа 8 этой микросхемы, т. е. фа-диез 2-й октавы. В результате в общей точке соединения резисторов R4 — R7 сформируется сложный сигнал, содержащий четыре частоты — фа-диез 1, ля-диез 1, до-диез 2, фа-диез 2, т. е. мажорный аккорд, взятый от ноты фа-диез 1-й октавы. Если включен SA2.2, то выходной сигнал содержит фа-диез 1, ля 1, до-диез 2, фа-диез 2, формируется минорный аккорд от фа-диез 1-й октавы.
При вклю­чении SA2. 3 выходной сигнал состоит из фа-диез 1, ля-диез 1, до-диез 2, ми 2 — септ-аккорд. Если нажимать другие кнопки переключателя SB1, будут формироваться указанные аккорды, но от других начальных нот первой ок­тавы.

Для управления устройством формирования огибающей можно исполь­зовать сигнал с коллектора VT1. Если ни одна из кнопок SB1 не нажата, транзистор VT1 закрыт и на его коллекторе напряжение около +5 В. При нажатии любой из кнопок SB1 ток резистора R1 или входной ток микросхем-DD1 — DD3 включает VT1 и напряжение ОД — 0,2 В с его коллектора, посту­пая на вход управления УНЧ, включает формирователь огибающей.

Для того чтобы аккорды можно было брать не только от нот 1-й октавы, можно поступить так. Входы, подключаемые по схеме к выходам делителей 1-й октавы, подключить к соответствующим выходам 4-й октавы, подключае­мые по схеме к выходам 2-й октавы — к выходам 5-й. Между выходами DD5 — DD8 и резисторами R4 — R7 включить четыре переключаемых делителя на двух микросхемах К155ИЕ5 каждый. В результате, оперируя тремя пере­ключателями — SB1 Нота, SA2 — Аккорд и дополнительным переключателем Октава, можно будет взять любой аккорд от любой ноты ЭМИ.

На выходе сумматора схемы рис. 86 скважность импульсов каждой из частот, слагающих аккорд, соответствует скважности импульсов, поступающих на входы мультиплексеров. Если же формирователь дополнить делителями, как указано выше, скважность составляющих импульсов на выходе суммато­ра станет равной 2, если, конечно, не принять специальных мер.

Другим способом формирования аккордов может служить использование мультиплексеров на большее число входов. При таком способе скважность вы­ходных импульсов будет равна скважности входных, однако схема формиро­вателя и особенно его монтаж значительно усложняется.

При монтаже формирователя следует учесть, что в случае, если провод­ники, соединяющие контакты переключателей SB1 и SA2 с микросхемами DD1 и DD4, будут иметь длину более 100 — 200 мм, между этими проводниками и плюсом источника питания необходимо включить резисторы сопро­тивлением 5 — 10 кОм (аналогично R1), это исключит влияние помех на работу формирователя.



ГЕНЕРАТОР ДЛЯ НАСТРОЙКИ МУЗЫКАЛЬНЫХ ИНСТРУМЕНТОВ


Описываемый генератор позволяет получить на выходе сигнал с ча­стотой, соответствующей любой ноте от контроктавы до пятой октавы.

Частота каждой ноты может быть установлена как точно в соответствии с хроматической гаммой, так и с поправкой до ±50 центов относительно это­го значения, что позволяет настраивать инструменты по любой зависимости, в том числе и в соответствии с кривыми Мейнеля и Рейнсбека. Выбор ча­стоты осуществляется тремя переключателями — Октава, Нота, Поправка. Дискретность установки частоты — 1 цент, ошибка в любой точке не превы­шает ±0,6 цента. Выходной сигнал генератора — меандр в уровнях ИС ТТЛ.

Схема генератора приведена на рис. 83. Задающий генератор собран на элементе DD1.1 и кварцевом резонаторе 11 на частоту 10 МГц. Через бу­ферный каскад DD1.2 сигнал поступает на преобразователь частоты на ин­тегральных микросхемах DD2 и DD3 типа К155ИЕ8. Выходная частота преоб­разователя в зависимости от сочетания сигналов, подаваемых на входы 1 — 32 DD2 и DD3, может составлять от 1/4096 до 4095/4096 входной частоты.

На входы 32 к 4 DD2 постоянно подан логический 0, логическая 1 — на входы 16, 8, 2, а также при показанном на схеме положении переключателя SAf.7 и на вход 1. При выключенных SA1.1 — SA1.6 на входы 1 — 32 DD3 также поступает уровень логического 0. В результате частота на выходе DD3 составляет (64+128 + 512+1024)/4096 = 1728/4096 от входной частоты. При включении SA1.6 коэффициент передачи DD2, DD3 увеличивается до (1728+1)/4096 от входной частоты. Относительное изменение выходной частоты составит 1729/1728=1,000 578 7, что очень близко к (2)-1200, т. е. к изме­нению частоты на 1 цент. Напомним, что относительное изменение частоты на один полутон составляет (2)-12, а на один цент — (2)-1200 . Включение переключателя SA1.5 увеличит частоту на 2 цента, SA1.4 — на 4 и т. д. Совместное включение нескольких переключателей увеличивает часто­ту на суммарную величину. В результате включением шести переключателей SA1.1 — SA1.6 можно увеличить частоту на 63 цента.


Если включить SA1. 7 при выключенных остальных переключателях, сиг­налы на входах 1 — 32 DD3 и на входе 1 DD2 изменятся на противополож­ные, суммарное изменение частоты составит 1+2 + 4 + 8+16+32 — 64= — 1 цент. Теперь включение SA1.6 будет уменьшать выходную частоту на 1 цент, вклю­чение SA1.5 — на 2 цента и т. д., т. е. включение SA1.7 изменяет знак воз­действия SA1.1 — SA1.6. В результате соответствующим включением переклю­чателей SA1.1 — SA1.7 выходную частоту преобразователя DD2, DD3 можно изменять на — 64- +63 цента. Указанная выше точность 0,6 цента выдержи­вается при введении поправки, не превышающей 50 центов.

Номинальная частота сигнала на выходе DD2, DD3 составляет 1728/4096Х Х10 МГц=4 218750 Гц. Этот сигнал через буферный инвертор поступает на вход еще одного преобразователя частоты на микросхемах DD4 и DD5. Уп­равление коэффициентом передачи этого преобразователя осуществляется шиф­ратором переключателя Нота. Каждой ноте соответствует определенный двоичный двенадцатиразрядный код, подаваемый на входы 1 — 32 DD4 — DJ5. Дли примера рассмотрим формирование сигнала с частотой ноты до. Для этой но­ты код шифратора составляет 1000 0010 00012=208110. Коэффициент передачи делителя составит 2081/4096=0,508 066 64, а выходная номинальная частота пре­образователя DD4, DD5 — 4 218 750X0,50 805 664=2 143 364 Гц.

Эта частота поступает на двоичный делитель на ИС. На выходе 12 DD8 частота уменьшится в 29 = 512 раз и составит 4186,26 Гц, что соответствует до 5-й октавы с точностью до 0,25 Гц. Ошибка в 0,25 Гц составляет 6-10-5 от точного значения, или приблизительно 0,1 цента.

Коды шифратора К для всех двенадцати нот приведены в табл. 4, там же указаны относительные отклонения Af/f получаемых частот от точного значения.

На других выходах ИС DD8, DD9 формируются частоты остальных октав, вплоть до контроктавы. Выбор нужной октавы осуществляется переключате­лем SA2. Схема соединения его контактов исключает замыкание выходов мик­росхем DD8, DD9 между собой при случайном включении двух кнопок.



Если в генератор установить кварцевый резонатор с частотой 5 МГц, на выходе 8 DD9 можно получать частоты субконтроктавы, а частоты 5-й ок­тавы — на выходе 11 DD7. Такой же результат можно получить, добавив в схему генератора еще один счетный триггер между выходом 6 DD5 и входом 14 BD6.



Рис. 83. Генератор для настройки музыкальных инструментов

Таблица 4



Нетрудно видеть, что генератор вообще некритичен к исходной частоте. Практически возможно использование кварцевого резонатора на частоту от 1 до 15 МГц, необходимо лишь заново рассчитать коды шифратора ноты и соответственно изменить число двоичных делителей DD6 — DD9. Наиболее удобно использование кварцев с частотой от 9 592 200 Гц до 10 161000 Гц или с вдвое, или вчетверо, или в 8 раз более низкой часто­той. Для них коэффициенты передачи преобразователя DD4, DD5, находятся в пределах приблизительно от 0,5 до 1, что обеспечивает максимальную точ­ность формирования выходных частот.

При использовании кварцевых резонаторов с частотой менее 8 МГц мо­жет потребоваться изменение схемы кварцевого генератора.

Покажем, как рассчитать коды шифратора ноты для произвольной часто­ты кварца, превышающей 9 592 200 Гц. В табл. 4 приведены значения частот нот 5-й октавы, увеличенные в 29=512 раз, т. е. соответствующие выходной частоте преобразователя DD4, DD5 при частоте кварца, близкой к 10 МГц» Эти частоты следует разделить на номинальную выходную частоту преобра­зователя DD2, DD3, которая составляет 1728/4096 = 0,421 875 от частоты квар­цевого генератора. В результате получится для каждой ноты коэффициент пе­редачи преобразователя DD4, DD5, его следует умножить на 4096, округлить до ближайшего целого числа и перевести в двоичную форму.

Старший разряд двоичного эквивалента определит сигнал, который необ­ходимо подать на вывод 3 DD4 (вход 2048), младший — на вывод 4 DD5 (вход 1). Если частота кварцевого резонатора близка к 10; 5; 2,5 или 1,25 МГц и находится в указанных выше пределах, все коэффициенты передачи, как-указывалось выше, заключены в интервале 0,5 — 1 и в старшем разряде двоич­ного эквивалента 1, что определяет подачу на вход 2048 логической единиц» независимо от ноты.



Если частота кварцевого резонатора ниже указанной, то ее перед расче­том следует умножить на 2, 4 или 8 так, чтобы она стала больше этого зна­чения. Порядок расчета полностью сохраняется, а из схемы рис. 83 следует ис­ключить соответственно 1, 2 или 3 разряда двоичного делителя.



Рис. 84. Схема шифратора для частоты кварцевого резонатора 10 МГц

По полученной таблице двоичных эквивалентов следует составить схему шифратора. Наиболее просто шифратор можно построить, используя переклю­чатель П2К с взаимовыключением. Переключатель должен иметь 12 кнопок, каждая по 8 контактных групп на переключение. Если сгруппировать неко­торые цепи шифратора, можно уменьшить число контактных групп. Схема шиф­ратора для частоты кварцевого резонатора 10 МГц (естественно, пригодна» и для 5; 2,5; 1,25 МГц) приведена на рис. 84. Переключатель этого шифра­тора использует секции на четыре контактные группы.

При отсутствии переключателей с необходимым числом контактных групп Шифратор можно собрать на любых маломощных диодах. Необходимое число диодов соответствует общему числу нулей в двоичных эквивалентах коэффи­циентов передачи, для частоты кварца 10 МГц необходимо 65 диодов. Фраг­мент схемы диодного шифратора приведен на рис. 85.



Рис. 85. Схема диодного шифратора

Переключатель SA1 — П2К с независимой фиксацией, SA2 — П2К с взаимо­выключением (см. рис. 83).

Блок питания генератора должен обеспечивать напряжение 5 В при токе 0,6 А.

Настройка генератора сводится к точной установке частоты кварцевого ге­нератора подбором С1 и, возможно, конденсатора, дополнительно включенного последовательно с кварцевым резонатором. Правильность формирования частот следует проверить с помощью цифрового частотомера. Контролировать часто­ты генератора целесообразно на выходе 6 DD5, они должны соответствовать указанным в табл. 4. Если отклонение частоты от необходимого значения пре­вышает 0,025%, допущена ошибка в расчете или монтаже шифратора ноты.

Для настройки музыкальных инструментов не по хроматической гамме для каждой ноты следует вводить поправку, набирая ее на переключателе SA1. График или таблицу зависимости поправки от настраиваемой ноты целесооб­разно подложить под фальшпанель генератора, выполненную из органического стекла.



ИНТЕГРАЛЬНЫЕ МИКРОСХЕМЫ КОМБИНАЦИОННОГО ТИПА


Среди ИС комбинационного типа наиболее широко используются де­шифраторы, представленные в рассматриваемой серии микросхемами К165ИД1, К155ИДЗ и КЛ55ИД4.

Дешифратор К155ИДЗ (рис. 16) имеет четыре адресных входа 1, 2, 4, 8, два входа стробирования А1 и А2 и шестнадцать выходов 0 — 15. Если на обо­их входах стробирования уровни логического 0, на том из выходов, номер ко­торого соответствует двоичному эквиваленту входного кода (вход 1 — младший разряд, вход 8 — старший), будет уровень логического 0, на остальных выхо­дах — логической 1. Если хотя бы на одном из входов стробирования Al и А2 уровень логической 1, то независимо от состояний входов на всех выходах ИС формируется логическая ,1,.

Наличие двух входов стробирования существенно расширяет возможности использования ИС. Из двух микросхем К155ИДЗ, дополненных одним ТТЛ-ин­вертором, можно собрать дешифратор на 32 выхода (рис. 17), дешифратор на 64 выхода собирается из четырех ИС К155ИДЗ и двух инверторов (рис. 18), а на 256 выходов — из 17 ИС К165ИДЗ (рис. 19).

                              

Рис. 16. Выводы ИС К155ИДЗ                  Рис. 17. Дешифратор на 32 выхода

Интегральная микросхема К155ИД4 (рис. 20) содержит два дешифратора на четыре выхода каждый с объединенными адресными входами и раздель­ными входами стробирования. Уровень логического 0 на выходах первого (верх­него по схеме) дешифратора формируется (аналогично К155ИДЗ) лишь при наличии на обоих стробирующих входах уровня логического 0. Соответствующим условием для второго дешифратора является наличие на одном из его входов стробирования уровня логической 1 (вывод 1), а на другом — логиче­ского 0 (вывод 2). Такая структура ИС позволяет использовать ее в различных вариантах включения. На основе ИС К155ИД4 могут быть построены, в част­ности, дешифраторы на восемь выходов со входом стробирования (рис. 21) и на 16 выходов (рис. 22). На девяти ИС К155ИД4 можно собрать дешифратор на 64 выхода по схеме, подобный рис. 19.
Практически выходное напряжение ИС К155ИД1 в состоянии 0 может быть несколько выше или ниже порога пе­реключения, поэтому для надежной работы ИС — нагрузки в минусовую цепь питания этой микросхемы следует включить кремниевый диод. Такое включение повысит порог переключения ИС примерно до 2 В, что обеспечит ее согласова­ние с дешифратором К155ИД1. Кроме того, при этом поднимется выходной уровень логического 0 микросхемы примерно до 0,9 В, что вполне достаточно для нормальной работы последующих ИС.

На рис. 27 приведена схема делителя частоты на 10 с переключаемой в пределах 10 — 1,1 скважностью выходных импульсов, иллюстрирующая описан­ные выше правила согласования дешифратора К.155ИД1 с интегральными мик­росхемами ТТЛ.

Для коммутации двоичных сигналов используются так называемые мульти-плексеры, представленные в серии К155 интегральными микросхемами К155КП1, К155КП2, К155КП5 и К155КТГ7.

Мультиплексер К165КП7 имеет восемь информационных входов (DO — D7), три адресных входа (1, 2, 4) и вход стробирования А (рис. 28). У микро­схемы два выхода — прямой и инверсный. Если на входе стробирования логи­ческая 1, на прямом выходе 0 независимо от сигналов на других входах. Если на входе стробирования ИС логический 0, сигнал на прямом выходе повторяет сигнал на том входе, номер которого совпадает с двоичным эквивалентом кода на входах 1, 2, 4 мультиплексера. На инверсном выходе сигнал всегда противо-фазен сигналу на прямом выходе.

Наличие входа стробирования позволяет простыми средствами строить мультвплексеры на большее число входов. На рис. 29 приведена схема мульти­плексера на 16 входов, на рис. 30 — на 64.

Мультиплексер К155КП5 (рис. 31), в отличие от К155КП7, имеет лишь ин­версный выход и не имеет входа стробирования.

Интегральная микросхема К155КП1 (рис. 32) содержит четыре адресных входа 1, 2, 4, S, 16 информационных входов DO — D15 и вход стробирования А. Выход у этой микросхемы только инверсный. Все свойства и способы включе­ния у нее такие же, как и у К156КП2.



Интегральная микросхема К155КП2 (рис. 33) содержит два мультиплексе­ ра на четыре информационных входа D0 — D3 с отдельными входами стробиро­вания, объединенными адресными входами и прямыми выходами.



Рис. 27. Делитель частоты на 10 с переключаемой скважностью

 


Рис. 28. Выводы ИС К155КП7

Рис. 29. Мультиплексер на 16 входов

      


Рис. 30. Мультиплексер на 64 входа                                          Рис. 31. Выводы ИС К155КП5

                                    
                


Рис. 32. Выводы И С К155КП1      Рис. 33. Схема ИС К155КП2   Рис. 34. Выводы ИС К155ЛП5

 

Как известно, основная операция, производящаяся в цифровых вычисли­тельных машинах, — сложение. Все другие арифметические операции — вычи­тание, умножение, деление — сводятся к сложению. Операция сложения двоич­ных чисел производится с использованием сумматоров и полусумматоров.

В состав серии ИС К155 входят два типа полусумматоров — К155ЛП5 и К155ИП2.

В ИС К155ЛП5 (рис. 34) четыре независимых полусумматора (другие на­звания — сумматор по модулю два, элемент Исключительное ИЛИ). Каждый из этих элементов работает следующим образом. Если на обоих входах эле­мента, например 1 и 2, уровень логического 0 — на выходе 3 логический 0. Ес­ли на одном из входов логический 0, на другом логическая 1, на выходе — 1,. если на обоих входах — 1, на выходе 0.



Рис. 35. Схема ИС К155ИП2

В состав ИС КД55ИП2 (рис. 35) входят восьмивходовый сумматор по мо-Дулю 2, обозначенный SM2, инвертор и два логических элемента И — ИЛИ — НЕ;.

Восьмивходовый сумматор по модулю 2 работает аналогично двухвходовому: если на его входах четное число сигналов с уровнем логической 1, на выходе логический 0, если число единиц на входах нечетное, на выходе 1. Остальные элементы ИС позволяют объединять интегральные микросхемы между собой для увеличения числа входов. При подаче уровня логической 1 на вход 3, ло­гического 0 на вход 4, уровень на выходе 5 будет соответствовать выходному уровню сумматора SM2, на выходе 6 — его инверсии.


Бели уровни на (входах 3 и 4 изменить на противоположные, уровни на выходах 5 и 6 также изменя­тся на противоположные.

Напомним основные свойства двоичных сумматоров. Каждый разряд дво­ичного сумматора (его иногда называют полным сумматором) имеет три вхо­да — два входа Л и В для слагаемых, вход сигнала переноса от предыдущего разряда С и два выхода — суммы S и сигнала переноса Р в следующий раз­ряд. Работа сумматора иллюстрируется табл. 3. Входы А, В, С, вообще гово­ря, равноправны. Сигнал суммы S принимает значение логической ,1 при не­четном числе единиц на входах А, В и С и логического 0 при четном, как и в рассмотренных выше полусумматорах. Сигнал переноса Р равен логической 1 при числе единиц на входах, равном 2 или 3. Интересным свойством табл. 3 является ее симметрия: замена 0 на 1 и наоборот не нарушает ее истинности. Это свойство используется для упрощения схем сумматоров.

Таблица 3

Входы

Выходы

 

Входы

 

Выходы

Л

в

с

S

р

А

в

с

S

р

0

0

0

0

0

0

0

1

1

0

1

0

0

1

0

1

0

1

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

1

1

1

1

1

1

Интегральные микросхемы КД55ИМ1, К155ИМ2 и К155ИМЗ — соответст­венно одноразрядный, двухразрядный и четырехразрядный полные сумматоры. На рис. 36 приведена схема ИС К.155ИМ1. Ее основу составляют два много-входовых элемента И — ИЛИ — НЕ. Сигнал переноса (инверсный) формируется на выходе Р, если хотя бы на двух входах сумматора присутствует уровень логической 1. Если А = В=1, включается нижний элемент И DD6, если А-С — 1, включается средний элемент DDI, при В = С=1 включается верх­ний элемент. Сигнал переноса формируется, конечно и при А = В = С=1. Сигнал суммы формируется в случае, если А=В=С=1 и включается нижний логический элемент H-DD5. Сигнал суммы формируется также и в том случае, когда есть хотя бы одна единица на входах А, В, С и нет сигнала на выходе переноса (P=!l, включается один из трех верхних элементов И DD5). Посколь­ку сигнал переноса формируется в том случае, когда среди входных сигналов число единиц две или три, второй случай формирования сигнала суммы соот­ветствует одной и только одной единице среди входных сигналов.


Если на всех входах сигналы отсутствуют (А = В = С = 0), выходные сигналы также отсут­ствуют: S = 0, Р=0 (Р=0).

Входные сигналы А и В могут быть поданы не только в прямом коде (входы 8 и 9 для А, 12 и 13 для В), но и в инверсном (входы 11 для А и 2 для В). При использовании инверсных входных сигналов входы 8, 9, 12 и 13 следует соединить с общим проводом, а при использовании прямых сигналов — попарно соединить выводы 10 и 11, 1 и 2.

Элементы DD1 и DD2 микросхемы имеют открытый коллекторный выход, поэтому выводы 10 и 1 могут использоваться или как выходы элементов DD1 и DD2, или как входы, превращающие элементы DD1 и DD2 типа И — НЕ в элементы И — ИЛИ — НЕ подключением к этим выводам выходов ИС К155ЛА8. В любом случае использования выводов 10 и 1 между ними и плюсом питания необходимо включать резисторы 1 — 2 кОм.

      


Рис. 36. Схема ИС К155ИМ1

Рис. 37. Схема соединения интегральных микросхем К155ИМ1 в двухразрядный сум­матор

                                                                        


Рис. 38. Выводы ИС К155ИМ2                              Рис. 39. Выводы ИС К155ИМЗ

При соединении ИС К155ИМ1 в многоразрядный сумматор (рис. 37) ис­пользуется описанное выше свойство симметрии полного сумматора относитель­но замены входных и выходных сигналов инверсными. В первом разряде входные сигналы подаются на прямые входы ИС DD1, выходной сигнал суммы снимается с прямого выхода S, сигнал переноса — с единственного (инверсного) выхода Р. На второй разряд сумматора входные сигналы А и В подаются на инверсные входы, на прямой вход С подается инверсный сигнал переноса с первого разряда, выходной прямой сигнал суммы формируется на инверсном выходе 5, выходной прямой сигнал переноса — на инверсном выходе Р. Третий разряд сумматора работает так же, как и первый, четвертый — как второй и т. д.

Такое чередование режима работы одноразрядных сумматоров обеспечива­ет минимальную задержку распространения сигнала в самой длинной цепи — в цепи формирования сигнала переноса.

Интегральная микросхема К155ИМ2 (ряс. 38) представляет собой объеди­нение двух микросхем К155ИМ1, соединенных в соответствии с рис. 37 с исключенными неиспользуемыми инверторами. Интегральная микросхема К155ИМЗ (рис. 39) соответствует двум микросхемам К155ИМ2, в которых вы-:ход переноса первой ИС соединен со входом С второй.

ЭЛЕКТРОННЫЕ ЧАСЫ



Описанные выше электронные часы на



Описанные выше электронные часы на интегральных микросхемах се­рии К.155 потребляют от источника питания +5 В ток 0,4 — 0,8 А в зависимости от типа использованных индикаторов. Питание таких часов необходимо осу­ществлять от сети через понижающий трансформатор, выпрямитель и стабили­затор напряжения, в результате чего часы получаются довольно громоздкими.

Применив интегральные микросхемы серии К134, можно построить элект­ронные часы с бестрансформаторным питанием. Интегральные микросхемы се­рии К134 отличаются от ИС серии К155 прежде всего значительно меньшей по­требляемой мощностью, типом корпуса, наличием ИС, содержащих два JK-триг-гера в одном корпусе. В серии К134, однако, нет дешифратора с высоковольт­ным выходом, поэтому в часах использованы интегральные микросхемы К133ИД1, совпадающие по характеристикам с ИС КГ55ИД1. Корпус ИС К133ИД1 — плоский, с пленарными выводами, по конструкции близок к корпу­су ИС серии К134.

Часы на ИС серии К134 потребляют по цепи +5 В ток около 100 мА. В часах применен делитель частоты кварцевого генератора с переключаемым ко­эффициентом деления, что позволяет применять в них кварцевый резонатор с любой частотой в пределах от 50 до 559,24 кГц.

Принципиальная схема часов приведена на рис. 48. На ИС DD1 собран кварцевый генератор с резонатором Z1. Импульсы с выхода генератора по­ступают на делитель с коэффициентом пересчета 4 (ИС DD3) и далее на де­литель с переключаемым коэффициентом деления (ИС DD4 — DD14).

Этот делитель работает по принципу предварительной записи в счетчик числа, на которое нужно уменьшить коэффициент пересчета (см. с. 9). В таком делителе часть триггеров устанавливается при переполнении счетчика в 0, а часть — в 1. В результате переполнение счетчика происходит не после по­ступления 2™ импульсов делителя (n — число триггеров делителя), а ранее. В момент переключения последнего триггера в нулевое состояние вновь произ­водится установка части триггеров в 0, части — в 1.
Таким образом, коэффици­ ент пересчета делителя уменьшается относительно 2n на число, записываемое в делитель при сбросе.

Триггеры К134ТВ14 имеют лишь входы установки в 0. Поэтому структура делителя по сравнению с описанной выше несколько изменена — триггеры DD10 — DD14 делителя устанавливаются в 0, а сигналы на следующие за ними триггеры подаются либо с прямого, либо с инверсного выхода. Это эквивалентно установке триггеров соответственно в 0 и 1.

Входы триггеров DD4 — DD9, DD10.1 подключены к инверсным выходам предыдущих триггеров, в результате чего их частичная установка в 0 также эк­вивалентна записи в делитель некоторого числа. Ошибка, возникающая вслед­ствие невозможности установки части триггеров DD4 — DD9 в необходимое со­стояние, не превышает 0,1 с и существует лишь в момент пуска часов, на коэф­фициенте пересчета делителя она не сказывается,

Запись необходимого числа в делитель в момент переключения триггера осуществляется с помощью дифференцирующей цепочки C3R4R5 и элементов DD2.3 и DD2.4.

Делитель DD4 — DD14 настраивают так, чтобы на его выходе формировал­ся один импульс в минуту. Импульсы с выхода делителя поступают на вход счетчика единиц минут DD1S — DD16 и далее на счетчики десятков минут DD17 — DD18, единиц часов DD19 — DD20, десятков часов DD21. Коэффициент пересчета счетчика часов, равный 24, реализован с помощью схемы сброса на элементах DD22.1, DD22.2, DD22.3. Состояние счетчиков дешифрируется микро­схемами DD23 — DD26 и индицируется газоразрядными индикаторами HG1 — HG4.

В связи с тем что используемые JK-триггеры имеют по одному входу 1 и К, декады часов собраны по схеме, отличающейся от приведенных ранее. Код работы таких декад невесовой, он приводится к коду 1 — 2 — 4 — 8 с помо­щью диодов VD4 — VD7.

Для питания часов применен бестрансформаторный источник питания. На­пряжение сети гасится на конденсаторах С4 и С5, выпрямляется мостом VD2 и стабилизируется стабилитроном VD3. Пульсации напряжения на VD3 сглажи­ваются конденсатором Сб. Величина пульсации составляет около 500 мВ от пика до пика, но это допустимо для ИС ТТЛ.



Напряжение питания на аноды ламп HG1 — HG4 подается с однополупе-риодного выпрямителя на диоде VD1 через токоограничительные резисторы R8-R11.

Установка показаний часов возможна лишь в моменты, соответствующие целым часам. Порядок установки следующий. Нажимают кнопку SB1, при этом триггер на элементах DD2.1 и DD2.2, необходимый для подавления дребезга контактов кнопки (ом. с. 26), переключается в такое состояние, при котором на выходе элемента DD2.1 формируется уровень логического 0. Этот сигнал ус­танавливает триггеры делителя и счетчиков минут в нулевое состояние, а также вызывает появление на входе первого триггера счетчика часов DD19.1 логи­ческой 1. В момент отпускания кнопки сигнал на входе DD19.1 изменяется с логической 1 на логический 0, в результате чего к показаниям счетчика часов прибавляется единица. Нажимая необходимое число раз кнопку SB1, можно установить необходимое показание часов. Последний раз отпустить кнопку не­обходимо по шестому сигналу поверки времени.



Рис. 48. Схема электронных часов на МС серии К134

Коррекция показаний часов в процессе эксплуатации производится одно­кратным нажатием кнопки по первому и отпусканием по шестому сигналу. Если часы ушли вперед более чем на 5 с, кнопку следует нажать ранее, чем они переключатся самостоятельно, и отпустить, как обычно, по шестому сиг­налу.

Часы собраны на двух печатных платах разного размера 75X95 мм (рис. 49) и 55x95 мм (рис. 50). В часах использованы резисторы МТ, конденсаторы К73-17 (С4, С5), К50-6 (С6), К10-17 (остальные). На плате меньшего разме­ра детали установлены с двух сторон, их расположение показано на чертежах печатных плат. Вариант конструктивного оформления часов показан на рис. 51. Корпус оклеен из прозрачного органического стекла толщиной 3 ш и оклеен светлой декоративной пленкой под дерево. Торцевая и внутренняя поверхности верхней, нижней и боковых стенок корпуса окрашены темной коричневой нитро­эмалью. В планке на передней стенке корпуса после ее наклейки лезвием брит­вы вырезано окно против индикаторов.


Платы и задняя стенка крепятся винтами М2 к четырем бобышкам из органического стекла, приклеенным к боковым стенкам корпуса.

В качестве кнопки SB1 использован микропереключатель МПЗ-,1, закреп­ленный на плате большего размера. Для нажатия на шток переключателя в нижней стенке часов напротив него просверлено отверстие 0 1,8 мм. Нажи- -мают на шток при пуске часов отрезком проволоки, например разогнутой кан- целярской скрепкой.

Платы соединяют между собой пятью проводниками, соответствующие кон­тактные площадки на платах помечены +5 В, Общ., 1, 2, 3.

Настройку делителя частоты под конкретный кварцевый резонатор произ­водят следующим образом. Частоту кварцевого резонатора делят на 4 (что соответствует делению в триггерах DD3.1 и DD3.2) и умножают на 60. В результате получается необходимый коэффициент деления. Его уменьшают на единицу и переводят в двоичную форму.

Рассмотрим в качестве примера настройку делителя под кварцевцй резо­натор с частотой 100 кГц. Необходимый коэффициент деления 100 000:4X60 = 1500 000. Двоичный эквивалент числа 1 499 99910 равен 1 0110 1110 0011 0101 1111 г. Число знаков в двоичном эквиваленте определяет число необходимых триггеров, в данном случае 21, поэтому триггер DD14.2 не используется и сиг­нал на конденсатор СЗ снимается с прямого выхода 9 триггера DD14.1.

Если необходимое число триггеров составляет 22 (частота кварцевого ре­зонатора находится в пределах от 139 810 до 279 620 Гц), сигнал снимается с вывода 12 DD14.2.



Рис. 49. Печатная плата электронных часов большая

Двенадцать младших разрядов двоичного эквивалента определяют подклю­чение входов R микросхем DD4 — DD9 к шине установки нуля — если в соответствуюшгм разряде 0, вход R подключают к шине с помощью перемычки, если 1 — оставляют свободным. В данном случае 0 в 6, 8, 11 и 12 разрядах (начиная с младшего), следовательно, к шине установки нуля подключают входы R триггеров DD6.2, DD7.2, DD9.1, DD9.2 (счет начинается с триггера DD4.1, на триггеры DD3 установка 0 никогда не подводится).


Остальные раз­ ряды двоичного эквивалента определяют, какие входы (прямые или инверсные) триггеров DD10 — DD14 необходимо подключать ко входам следующих тригге­ров. Если в двоичном эквиваленте в соответствующем разряде 0, вход следу­ющего триггера подключается к инверсному выходу данного триггера (вывод 8 или 13), если 1 — к прямому (вывод 9 или 12). Неиспользуемый вывод триг­гера не подпаивается к контактной площадке, его оставляют свободным или обламывают. Так как в старшем разряде двоичного эквивалента всегда стоит 1, с последнего триггера выходной сигнал всегда снимается с прямого выхода.



Рис. 50. Печатная плата электронных часов малая

В данном случае ко входам следующих триггеров подключаются выводы 8 и 12 микросхемы DD10, 9 и 12 DD11, 8 и 12 DD12, 9 я 13 DD13, выходной сигнал снимается с вывода 9 DD14.



Рис. 51. Конструкция электронных часов

После установки теплового режима в корпусе часов проверяется уход по­казаний за 3 — 4 недели и уточняется настройка делителя. Предположим, часы спешат на 2 с в сутки. В этом случае коэффициент деления нужно увеличить на (2*1600 000)/86400 = 35 (86 400 —  число секунд в сутках). Таким образом, необходимый коэффициент деления составит 1 500 035, его уменьшают на единицу и по нему вновь определяют двоичный экви­валент и рассчитывают установку перемычек (распайка выводов триггеров DD10 — DD14, как правило, не ме­няется).

При применении в часах кварцевого резонатора с частотой менее 69905 Гц микросхему DD3 не устанавли­вают, ее контактные площадки 1 и 8 соединяют между собой проволочной перемычкой, а частоту кварцевого резонатора при определении коэффициента деления на 4 не делят.

Если же частота кварцевого резонатора превышает 279 620 Гц, но менее 559 240 Гц, выход делителя (точка 2 платы большего размера) подключают ко входу 1 DD17 (точка а), выход 13 DD17 (точка б) подключают к точке 2 платы меньшего размера. При этом при расчете коэффициента деления частоты кварцевого резонатора делят не на 4, а на 8.

При налаживании часов следует помнить, что к включенным в сеть часам нельзя подключать приборы с металлическим корпусом, можно только логиче­ские щупы (питание на них можно взять со стабилитрона VD2) и авометры. Если для поиска неисправности или измерения частоты кварцевого резо­натора необходимо подключение осциллографа или частотомера, питание на часы следует подать от источника постоянного напряжения 15 — 18 В, замкнув выводы конденсаторов С4 — С5 между собой.

Для облегчения теплового режима часов целесообразно резисторы R13 — R15 в часы не устанавливать, на их место установить перемычку, а в сетевую вилку в разрыв одного из проводов включить проволочный резистор 51 — 75 Ом.

При правильной настройке делителя месячная ошибка в ходе часов не превышает 5 с.

БУДИЛЬНИКИ И ТАЙМЕРЫ



Первой конструкцией на цифровых ИС,



Первой конструкцией на цифровых ИС, изготовляемой радиолюби­телями, являются, как правило, электронные часы. На ИС серии К155 можно собрать часы, самые разнообразные по своим схемам. Одна из самых простых схем приведена на рис. 40.

Часы включают в себя кварцевый генератор на ИС DD1 и кварцевом ре­зонаторе Z1 на частоту 100 кГц, делитель частоты с коэффициентом деления 10s (DD2 — DD6), счетчики секунд (DD7, DD8), минут (DD9, DD10) и часов (DD11 — DD12), а также не показанные на рис. 40 дешифраторы и индикато­ры. Интегральные микросхемы DD7, DD9, DD11 (К155ИЕ2) имеют коэффициент пересчета 10, а в ИС DD8 и DD10 (К155ИЕ4) для получения коэффициента деления 6 используются лишь первые три триггера, что обеспечивает необхо­димый для дешифраторов код 1 — 2 — 4.

Для пересчета на 24 в счетчике часов выходы 8 микросхем DD11 и DD12 подключены ко входам Л этих же микросхем. При достижении состояния 4 ИС DD11 и состояния 2 ИС DD12 на обоих входах R этих счетчиков форми­руется уровень логической 1, и они переходят в нулевое состояние.

Выходы счетчиков секунд, минут и часов подключены ко входам дешиф­раторов, выходы дешифраторов — к соответствующим электродам индикаторов. В часах могут быть использованы самые разнообразные индикаторы и соответ­ствующие им дешифраторы.

Эффектно выглядят электронные часы, если индикация секунд произво­дится на индикаторах меньшего размера, чем индикация часов и минут. В этом случае индикаторы секунд меньше раздражают глаза своим постоянным; переключением. Хорошо смотрятся часы с газоразрядными индикаторами часов и минут и небольшими полупроводниковыми индикаторами секунд красного свечения, установленными между индикаторами часов и минут.

Подключение газоразрядных индикаторов с помощью дешифратора К155ИД1 описано выше. Для подключения полупроводниковых семисегментных индика­торов могут использоваться интегральные микросхемы преобразователей кода 1 — 2 — 4 — 8 в код семисегментного индикатора К514ИД1 и К514ИД2.
Цоколев­жа этих микросхем одинакова (рис. 41).



Рис. 40. Схема электронных часов на ИС серия К155

Интегральная микросхема К514ИД1 служит для подключения индикаторов с общим катодом и содержит ограничительные резисторы, обеспечивающие вы­ходной ток около 5 мА. Электроды индикатора, рассчитанного на указанный ток, подключают к выходам микросхемы, а общий катод со­единяют с общим проводом.



Рис. 41. Выводы ИС К514ИД1 и К514ИД2

Интегральная микросхема К514ИД2 не содержит ограни­чительных резисторов, ее выходы через внешние резисторы подключают к катодам индикаторов с общим анодом. Сопро­тивление резисторов выбирают, исходя из номинального тока индикаторов (максимально допустимый ток для ИС 20 мА). Общий анод подключают к источнику постоянного или пуль­сирующего напряжения, не превышающего 6 В.

Вход 5 микросхем К514ИД1 и К514ИД2 служит для га­шения индикации при подаче на него логического 0. Интегральные микросхемы К.514ИД2 могут быть использованы для накаль-ных индикаторов, их включают без ограничительных резисторов. Общий вывод индикаторов подключают к плюсу источника постоянного или пульсирующего напряжения, соответствующего их рабочему напряжению питания.

Люминесцентные вакуумные индикаторы можно подключить к выходам микросхемы К514ИД2 с использованием р — n — р-транзисторов с допустимым напряжением коллектор — эмиттер не менее 30 В в соответствии с рис. 42,а. Подключение возможно и с использованием n — р — n-транзисторов в соответст­вии с рис. 42,6.

Интегральная микросхема К514ИД1 может быть использована для под­ключения к вакуумным люминесцентным индикаторам по схеме рис. 43.

Установка начальных показаний (сверка) часов производится с использо­ванием эталонных часов следующим образом. Нажав на кнопку SB3, подают на вход счетчика секунд импульсы с частотой 5000 Гц и устанавливают пока­зания счетчика часов. Затем, нажав на кнопку SB2, подают на вход счетчика секунд импульсы с частотой 100 Гц и устанавливают показания счетчика минут.


Наконец, нажав кнопку SB1, отпускают ее в момент, когда секундная стрелка эталонных часов покажет на циферблате на число (12.

Можно исключить из часов кнопку SB2, в этом случае входы R DD9 и DD10 следует соединить с аналогичными входа!ми DD2 — DD8, а сверку часов можно будет производить лишь в моменты времени, соответствующие целым часам.

Использованный в часах метод пуска обладает тем недостатком, что уста­новка часов, минут и секунд взаимосвязана и должна производиться обяза­тельно в указанном порядке. В то же время метод наиболее прост, так как не требует специальных мер по борьбе с так называемым дребезгом — многократ­ным неконтролируемым замыканием и размыканием механических контактов, кнопок, переключателей, реле и т. п., в результате которого вместо одного им­пульса включения формируется «пачка» импульсов.

Какие изменения в схеме часов можно сделать при отсутствии тех или иных микросхем?



Рис. 42. Подключение ваку­умных люминесцентных ин­дикаторов к ИС К514ИД2

          


Рис. 43. Подключение ваку­умных люминесцентных ин­дикаторов к ИС К514ИД1

Рис. 44. Делитель на 6 на ЛК-триггерах {а) и D-триггерах (б)

Интегральные микросхемы К155ИЕ1 можно заменить на К.155ИЕ2. При отсутствии микросхем К155ИЕ2, К.155ИЕ4, К155ИЕ5 на место микросхем DD2 — DD7, DD9, DD11 можно установить декады по схемам рис. А,а или 5,а. К декаде по рис. Ъ,а интегральные микросхемы К15ШД1, К514ИД1, К514ИД2 следует подключать по схеме рис. 26. Делители частоты на 6 можно выпол­нить по схемам рис. 44,а и б соответственно на JK- или D-триггерах. Дешифра­тор к делителю по рис. 44,6 следует подключать по схеме рис. 45.



Рис. 45. Подключение де­шифратора к делителю на 6 по схеме рис. 44.6

Счетчик ча­сов с коэффициентом пересчета 24 можно собрать по схемам рис. 46. На рис. 46,а знаком СТ10 помечена декада по схеме рис. 4,а, на рис. 46,6 — по схеме рис. Ъ,а. В случае применения декад и счетчиков на микросхемах КД55ТВ1 или К155ТМ2 следует использовать кнопку SB1 с нормально разомкнутыми кон­тактами.





Рис. 46. Схема счетчика часов на JK-триггерах (а) и D-триггерах (б). Прямой выход DD2 (рис. 46,а) соединить с выходом 2 счетчика

При отсутствии кварцевого резонатора на частоту 100 кГц можно исполь­зовать кварцевые резонаторы на другие частоты. Если частота резонатора в 2 — 10, 12 или 16 раз превышает частоту 100 кГц, между выходом генератора и входом DD2 следует включить одну из ИС (К165ИЕ2, К156ИЕ4, К155ИЕ5), соединив ее выводы для получения необходимого коэффициента деления в со­ответствии с табл. 2.

Если значение частоты кварцевого резонатора в герцах допускает разло­жение на указанные выше множители, можно установить несколько микросхем с различными коэффициентами деления для получения результирующей частоты 1 Гц. При этом для подачи на кнопки SB2 и SB3 сигналов с частотами 60 — 120 Гц и 3600 — 7200 Гц с выходов делителя необходимо сделать соответствующие отводы.

Более экономичным по структуре получается построение делителя с произ­вольным коэффициентом деления по схеме рис. 47. Делитель содержит цепоч­ку ИС К155ИЕ5 DD1 — DD3 и элемент И (DD4 — DD6) с большим числом входов, выход которого подключен ко входам R интегральных микросхем цепочки. Входы элемента И подключены к определенным выходам цепочки, это подклю­чение и определяет коэффициент пересчета.

Делитель работает по принципу принудительной установки в 0 при дости­жении требуемого состояния (см. с. 9).

Для определения количества ИС К155ИЕ5 в делителе, количества входов элемента И и порядка подключения входов этого элемента к выходам ИС не- . обходимый коэффициент пересчета переводят в двоичную форму.

Для перевода числа в двоичную форму его делят на 2, остаток (0 или 1) записывают. Результат вновь делят на 2, остаток снова записывают и так да­лее, пока после деления не останется нуль. Первый остаток является младшим разрядом двоичной формы числа, последний — старшим.

Число разрядов получившегося двоичного числа определяет необходимое «число триггеров цепочки делителя, число единиц в двоичной форме числа рав­но числу входов в элементе И.


Расстановка единиц в двоичном эквиваленте оп­ределяет, к каким выходам цепочки необходимо подключить входы элемента И. Наличие 1 в младшем разряде означает подключение к выходу 1 цепочки, в следующем — к выходу 2 и т. д.

Для примера рассмотрим расчет для кварцевого резонатора с частотой 150007 Гц. Двоичный эквивалент числа 150 0074о составляет 10 0100 1001 1111 011Ь. В двоичном эквиваленте 18 разрядов, необходимая длина цепочки — 18 триггеров или 5 микросхем К155ИЕ5. Число единиц в двоичном эквиваленте — 1.1, следовательно, необходим элемент И на 11 входов. Входы элемента И не­обходимо подключить к следующим выходам цепочки: 1, 2, 3, 5, 6, 7, 8, 9, 12, 15, 18. Выходной сигнал снимается с выхода 18 цепочки — последнего, подклю­чаемого ко входу элемента И. В цепочке возможно применение интегральных микросхем К155ИЕ2, в этом случае расчет упрощается, но число ИС в цепоч­ке увеличивается.



Рис. 47. Схема делителя частоты с переключаемым коэффициентом деления

Из-за накопления задержек в цепочке для нормальной работы делителя не­обходимо, чтобы частота входных импульсов не превышала 1 МГц. Если час­тота кварцевого генератора более 1 МГц, необходимо поделить ее до частоты 500 кГц — 1 МГц с помощью одной микросхемы К155ИЕ5 и лишь потом подать на делитель.

Делитель с произвольным коэффициентом деления на ИС К155ТВ1 или К155ТМ2 также можно собрать по схеме рис. 47, но в этом случае более эко­номичным по количеству микросхем является способ, который приведен ниже при описании электронных часов на интегральных микросхемах серии KI34.

Точная подстройка кварцевого генератора может быть обеспечена включе­нием последовательно с кварцевым резонатором конденсатора емкостью от единиц до сотен микофарад, а также подбором емкости: СЗ (см. рис. 40).



ОБЩИЕ СВЕДЕНИЯ


Отечественная промышленность выпускает обширную номенклатуру ин­тегральных микросхем (ИС). Широкое применение для построения устройств автоматики и вычислительной техники находят цифровые ИС серии К155, которые изготовляются по стандартной технологии биполярных ИС транзистор-но-транввстарной логики (ТТЛ). Номенклатура ИС серии КШ5 составляет око­ло 100 наименований.

Все ИС серии КД55 имеют напряжение питания 5 В±5%. Интегральные микросхемы выпускаются в пластмассовых корпусах трех типов, отличающихся количеством выводов (14, 16, 24) и размерами, и имеют диапазон рабочих температур от — 10 до +70° С. Часть микросхем выпускается также в керами­ческих корпусах и имеет обозначение К.М155. Температурный диапазон микро­схем в керамических корпусах — от — 45 до +85° С.

Интегральные микросхемы серии К155 имеют выходной уровень логиче­ского 0 не более 0,4 В (типовое значение 0,1 — 0,2 В), выходной.уровень логи­ческой 1 не менее 2,4 В (типовое значение 3,2 — 3,5 В), типовую нагрузочную способность — 10.

В табл. 1 приведены значения потребляемой мощности, предельной часто­ты тактовых импульсов, а также число выводов корпуса и разводка выводов питания рассматриваемых ниже микросхем.

При проектировании цифровых приборов следует иметь в виду, что факти­ческое быстродействие триггеров и счетчиков превышает указанное в табл. 1 в 1,5 — 2 раза, а потребляемая мощность в среднем в 1,5 — 2 раза меньше пре­дельной, указанной в таблице.

При разработке принципиальных схем различных устройств всегда возни­кает вопрос: что делать с неиспользуемыми входами интегральных микросхем. Для ИС ТТЛ, к которым относятся ИС серии К155, возможно несколько вари­антов. Во-первых, неиспользуемые входы микросхем можно никуда не подклю­чать [Для ИС некоторых серий (К533, К555, К530, К531 и др.) оставлять вхо­ды неподключенными не допускается.], т. е. подпаивать к контактной площадке минимальных размеров, к кото­рой (это важно) не подключены проводники.
При таком варианте несколько уменьшается быстродействие микросхем. Во-вторых, возможно подключение не­используемых входов к используемым входам того же элемента, но это не­сколько увеличивает нагрузку (в основном емкостную) на микросхему — ис­точник сигнала, что также снижает быстродействие. Неиспользуемые входы J триггеров можно подключать к инверсным выходам тех же триггеров, а К — к прямым. Это очень удобно, так как указанные выводы триггеров обычно расположены рядом. Можно подключать неиспользуемые 1входы к выходу не­используемого элемента И — НЕ, входы которого при этом надо соединить с общим проводом. Наконец, можно объединять неиспользуемые входы ИС и подключать их к источнику питания +5 В через резистор 1 кОм (до 20 вхо­дов к одному резистору). Последние два способа не снижают быстродейст­вия ИС.

Таблица 1

Обозначение, ИС

Потребляемая мощность, мВт

Предельная частота, МГц

Число выводов корпуса

Выводы

питания

+ 5 В

Общ.

К155ТВ1

105

10

14

14

7

К155ТМ2

157,5

10

14

14

7

К155ТМ5

265



14

4

11

К155ТМ7

265



16

5

12

К155ИЕ1

150

10

14

14

7

К155ИЕ2

265

10

14

5

10

К155ИЕ4

255

10

14

5

10

К155ИЕ5

265

10

14

5

10

К155ИЕ6

510

15

16

16

8

К155ИЕ7

510

15

16

16

8

К155ИЕ8

600

15

16

16

8

К155ИР1

410

10

14

14

7

К155ИД1

132



16

5

12

К155ИДЗ

250



24

24

12

К155ИД4

210



16

16

8

К155КП1

360



24

24

12

К155КП2

315



16

16

8

К165КП5

230



14

14

7

К155КП7

260



16

16

8

К155ЛП5

262,5



14

14

7

К155ИП2

294



14

14

7

К155ИМ1

175

 

14

14

7

К155ИМ2

290

 

14

4

11

К155ИМЗ

640



16

5

12




Недопустимо подключать ко входу ИС проводник, который во время ра­боты может оказаться неподключенным к выходу источника сигнала, напри­мер, при управлении от кнопки или переключателя. Такие проводники обяза­тельно следует подключать к источнику +6 В через резистор 1 — .1(0 кОм.

На печатных платах с использованием ИС серии К155 необходима уста­новка блокировочных конденсаторов между цепью +5 В и общим проводом. Их количество определяется одним-двумя конденсаторами емкостью 0,033 — 0,047 мкФ на каждые десять микросхем. Конденсаторы следует располагать на плате по возможности равномерно. Их следует также установить рядом со все­ми ИС с мощным выходом (например, К155ЛА6) или с потребляемой мощно­стью более 0,5 Вт.

Цифровые ИС по своим функциям делятся на два широких класса — ком­бинационные и последовательностные. К первому классу относятся ИС, не име­ющие внутренней памяти, состояние выходов этих ИС однозначно определяет­ся уровнями входных сигналов в данный момент времени.

Ко второму классу относятся ИС, состояние выходов которых определяет­ся не только уровнями входных сигналов в данный момент времени, но и со­стоянием ИС в предыдущий момент из-за наличия внутренней памяти.

К комбинационным ИС серии К155 относятся простые логические элементы И — НЕ, И — ИЛИ — НЕ, НЕ, ИЛИ — НЕ, И, ИЛИ, имеющие в своем обозначе­нии буквы ЛА (К155ЛАЗ), ЛР (К155ЛР1), ЛН (К155ЛН1), ЛЕ (К155ЛЕ1), ЛИ (К155ЛИ1), ЛЛ (К155ЛЛ1), более сложные элементы — дешифраторы (К155ИД1, К155ИДЗ, КИ55ИД4), мультиплексеры (К155КП1, К155КП2, К155КП5, К.155КП7), сумматоры по модулю 2 (К155ЛП5, К155ИП2), полные сумматоры (КЛ55ИМ1, К155ИМ2, К155ИМЗ), а также некоторые другие.

К последовательностным ИС относятся триггеры (К155ТВ1, К155ТМ2, К155ТМ5, К155ТМ7), счетчики (К155ИЕ1 — К155ИЕ8), сдвигающие регистры (К155ИР1) и др.

Работа логических элементов достаточно проста — для элементов И вы­ходной уровень логической 1 формируется при подаче на все входы элемента уровней логической 1, для элемента ИЛИ для формирования уров­ня логической 1 на выходе достаточно подачи хотя бы на один вход уровня логической 1.Элементы И — НЕ (основной элемент серии К155) и ИЛИ — НЕ дополнительно инвертируют выходной сигнал, элемент И — ИЛИ — НЕ состоит из нескольких элементов И, выходы которых подключены ко вхо­дам элемента ИЛИ — НЕ.

Изучение работы более сложных ИС серии К155 удобно начать с микро­схем последовательностного типа.



ПОСЛЕДОВАТЕЛЬНОСТНОГО ТИПА


Основу последовательностных цифровых структур составляют тригге­ры различных типов, которые могут использоваться самостоятельно или в со­ставе счетчиков, регистров и т. д.

Триггеры ИС серии К155 различаются по своим возможностям. Так назы­ваемые JK-триггер К155ТВ1 и D-тригтер К155ТМ2 могут работать в счетном режиме, т. е. менять свое состояние на противоположное на каждый импульс, приходящий на счетный вход триггера. Триггеры микросхемы К155ТМ5 и К155ТМ7 могут работать только в режиме хранения информации, записывае­мой в них в момент подачи тактовых импульсов.

Рассмотрим более подробно работу триггеров. Триггер К155ТВ1 (рис. 1,а) имеет девять входов: вход R установки в 0, вход S установки в 1, вход С — тактовых импульсов, входы J я К — управляющие входы (по три входа, объ­единенных по схеме И), а также прямой и инверсный (обозначен кружком) выходы.

При подаче логического 0 на вход R триггер устанавливается в нулевое состояние, при котором на прямом выходе уровень логического 0, на инверс­ном — логической 1. При подаче логического 0 на вход S триггер устанавли­вается в единичное состояние.

Более сложно происходит работа триггера при подаче сигналов на входы С, J и К. Наиболее простой режим осуществляется при уровне логической 1 на входах J и K, в этом случае JK-тригтер работает как обычный триггер со счетным входом: по спаду каждого положительного импульса на тактовом

входе С состояние триггера меняется на противоположное. Если хотя бы на одном входе J и на одном входе К одновременно уровень логического 0, со­стояние триггера при подаче импульсов по тактовому входу С не меняется.

Если на всех входах J уровень логической .1, хотя бы на одном входе К — логический 0, по спаду положительного импульса на входе С триггер устанав­ливается в единичное состояние независимо от своего предыдущего. Если хотя бы на одном входе J логический 0, на всех входах К — 1, по спаду импульса на входе С триггер устанавливается в нулевое состояние.


         


Рис. 1. Цоколевка И С K1S5TB1 (а) и К155ТМ2 (б)

Рис. 2. Цоколевка ИС К155ТМ5 (а) и К155ТМ7 (б)

Изменение сигналов на J- и K-входах при уровне логического 0 на входе С не влияет на состояние JK-триггера. Если же на входе С уровень логичес­кой 1, спад импульса на одном или нескольких входах J при логической 1 на других входах J приводит к установке триггера в единичное состояние, спад на входе (входах) К — в нулевое.

Следует иметь в виду, что не все JK-триггеры ИС ТТЛ работают при уровне логической 1 на входе С так, как описано выше. Некоторые триггеры (К158ТВ1, К134ТВ1, К134ТВ14) не срабатывают непосредственно по спадам импульсов на входах J и К, но могут запоминать изменение информации на этих входах, имевшее место при уровне логической 1 на входе С. Кроме того, технические условия на большинство JK-триггеров не определяют их принци­пиальных схем и характера переключения при логической 1 на входе С. По­этому не рекомендуется использовать JK-триггеры в режиме, когда сигналы на входах J и К меняются при логической 1 на входе С при отсутствии уве­ренности в поведении триггеров в этом режиме.

Интегральная микросхема типа К155ТМ2 (рис. 1,6) содержит два D-трнг-гера. Триггер D-типа имеет вместо входов J и К один вход D. По входам R и S D-триггер работает так же, как и JK-триггер. Если на входе D уровень ло­гического 0, по фронту положительного импульса на входе С триггер устанав­ливается в нулевое состояние, при логической 1 на входе D по фронту на входе С триггер устанавливается в состояние 1.

Для получения режима счетного триггера вход D соединяют с инверсным выходом триггера, в этом случае триггер меняет свое состояние на противопо­ложное по фронтам входных импульсов.

Интегральные микросхемы К155ТМ5 и К155ТМ7 (рис. 2) содержат по четыре статических триггера, каждый из которых имеет информационный вход

D, тактовый С и прямой выход (а в ИС К.Ш5ТМ7 еще и инверсный выход). Триггер работает следующим образом. При уровне логического 0 на входе С изменение сигнала на входе D не влияет на состояние триггера, и он хранит записанную в нем ранее информацию.


При подаче на вход С логической 1 триггер превращается в повторитель — сигнал на выходе соответствует сигна­лу на входе ( а на инверсном выходе ИС КД55ТМ7 — инверсии сигнала на вхо­де D). При подаче на вход С логического 0 триггер переходит вновь в режим хранения, а его состояние определяется сигналом на входе D перед спадом импульса на входе С. Таким образом, основные свойства триггеров ИС К155ТМ5 и К155ТМ7 следующие:

1) при подаче на вход С логического 0 — хранение информации;

2) при подаче на вход С логической 1 — повторение входного сигнала;

3) запоминается информация, имеющаяся на входе D перед спадом на входе С;

4) изменение информации на выходе может происходить в течение всего положительного импульса на входе С, если при этом меняется информация на входе D.

Эту разновидность D-триггера лучше называть «D-триггером, тактируемым импульсом», «триггером-защелкой», чтобы отличать ее от описанных выше D-триггеров К165ТМ2, которые можно назвать «D-триггерами, тактируемыми фронтом» или «счетными D-триггерами».

Для того чтобы подчеркнуть различие между ними, приведем логику ра­боты «счетного D-триггера»:

1) хранение информации осуществляется при подаче на вход С как логи­ческого 0, так и логической 1;

2) прямого прохождения сигнала на выход со входа D нет;

3) запоминается информация, имеющаяся на входе D перед фронтом им­пульса на входе С;

4) изменение информации на выходе может происходить только во время фронта на входе С.

На основе JK-триггеров и счетных D-триггеров строятся счетчики и дели­тели частоты. D-триггеры, тактируемые импульсом, удобны для построения ре­гистров памяти.

Для построения двоичных счетчиков счетные входы JK-триггеров соединя­ют с прямыми выходами предыдущих триггеров, а D-триггеров — с инверсными (рис. 3). Отличие в подключении входов связано с тем, что D-триггер срабаты­вает по фронту, а JK-триггер — по спаду входных импульсов.



Рис. 3. Двоичные счетчики на JK-триггерах (а) и D-триггерах (б)



Состояние счетчика ( количество поступивших на его вход импульсов пос­ле установки в 0) однозначно определяется состояниями его триггеров. В частности, для четырехразрядных счетчиков состояние q может быть определено по формуле . . .

q = p1y1 + p2y2 + p3y3 + P4.

где yi = 0 или l — состояние i-гo триггера (i=1-4, начиная со входа счетчи­ка); pi = 2n-1 — вес i-гo разряда счетчика. О таких счетчиках говорят, что они работают в весовом коде 1 — 2 — 4 — 8. Счетчик может быть построен так, что его весовой код отличается от рассмотренного. Так, для четырехразрядных счет­чиков получили распространение коды 1 — 2 — 4 — 6, il — 2 — 2 — 4 и др. Существуют такие структуры счетчиков, состояние которых не может быть выражено при­веденной выше формулой. О таких счетчиках говорят, что они работают в не-весовом коде. Их состояния определяют по временным диаграммам или табли­цам переходов. Сказанное о четырехразрядных счетчиках распространяется на счетчики любой разрядности.

Делители частоты (далее просто делители) отличаются от счетчиков тем, что в них используется только один выход — выход последнего триггера. Таким образом, n-разрядный двоичный счетчик всегда можно рассматривать как делитель на 2n.

Часто бывает необходимо осуществить деление частоты на некоторое це­лое число т, не являющееся степенью двойки, в таких случаях обычно ис­пользуют n-разрядный двоичный счетчик (2n>m), и вводом дополнительных логических связей обеспечивают пропуск 2п — т состояний в процессе счета. Этого можно достигнуть, например, принудительной установкой счетчика в 0 при достижении состояния т или принудительной установкой счетчика в со­стояние 2П — т при его переполнении.

                         


Рис. 4. Декада на JK-триггерах (а) и диа­грамма ее работы (б)

Рис. 5. Декада на D-тригтерах (а) и диа­грамма ее работы (б)

Возможны и другие способы. Например, наиболее часто применяемая де­када (счетчик с коэффициентом пересчета 10) на JK-триггерах строится по схе­ме рис. 4,о.


Временная диаграмма ее работы представлена на рис. 4,6. При подаче импульсов с 1-го по 8-й декада работает как обычный двоичный счет­чик импульсов. К моменту подачи восьмого импульса на двух входах J чет­вертого триггера формируется уровень логической 1, восьмым импульсом этот триггер переключается в единичное состояние и уровень логического 0 с его инверсного выхода, подаваемый на вход J второго триггера, запрещает его переключение в единичное состояние под действием десятого импульса. Деся­тый импульс восстанавливает нулевое состояние четвертого триггера, и цикл ра­боты делителя повторяется.

Декада рис. 4,а работает в весовом коде 1 — 2 — 4 — 8. Декада на D-тригге-рах, схема которой приведена на рис. 5,а, работает в невесовом коде. Времен­ная диаграмма ее работы приведена на рис. б,б.

Интегральная микросхема К.155ИЕ1 является делителем частоты на 10. Цоколевка микросхемы приведена на рис. 6. Установка триггеров микросхемы в 0 осуществляется подачей логической 1 одновременно на два объединенных по схеме И входа R. Рабочей полярностью входных счетных импульсов, подавае­мых на входы С, является отрицательная. Импульсы могут подаваться или по отдельности на каждый из входов (на второй вход должен при этом подавать­ся уровень логической 1), или одновременно на оба входа.

           


Рис. 6. Цоколевка ИС К155ИЕ1

Рис. 7. Цоколевка ИС К155ИЕ2 (а), К155ИЕ4 (б), К155ИЕ5 (в)

Одновременно с каждым десятым входным импульсом на выходе форми­руется равный ему по длительности выходной импульс отрицательной поляр­ности. Многокаскадные делители частоты можно строить, соединяя входы С последующих каскадов с выходами предыдущих.

Интегральные микросхемы К.155ИЕ2, К155ИЕ4 и К.155ИЕ5 (рис. 7) содержат по четыре счетных триггера. В каждой ИС один из триггеров имеет отдельный вход С1 и прямой выход, три оставшиеся триггера соединены между собой так, что образуют делитель на 8 в ИС К155ИЕ5, на 6 в К155ИЕ4 и на 5 в К155ИЕ2. При соединении выхода первого триггера со входом С2 цепочки из трех триггеров образуются соответственно делители на 16, 12 и 10.


Делители на 10 и 16 работают в коде 1 — 2 — 4 — 8, делитель на 12 — в коде 1 — 2 — 4 — 6.

Интегральные микросхемы имеют по два входа R установки в 0, объеди­ненные по схеме И. Сброс (установка 0) триггеров производится при подаче уровней логической 1 на оба входа R. Микросхема К155ИЕ2 имеет, кроме того, входы установки в состояние 9, при котором первый и последний триггеры де-.. кады находятся в единичном состоянии, остальные — в нулевом.

Наличие входов установки, объединенных по схеме И, позволяет строить . делители частоты с различными коэффициентами деления в пределах 2 — 16 беэ; использования дополнительных логических элементов. На рис. 8 приведены -: схема декады на ИС К155ИЕ4 и ее временная диаграмма. До прихода десято­го импульса декада работает как делитель частоты на 12. Десятый импульс j переводит триггеры микросхемы в состояние 10, при котором на выходах 4 и 6 (выводы 9 и 8) ИС формируются уровни логической 1. Эти уровни, поступая на входы R микросхемы, переводят ее в 0, в результате чего коэффициент пе­ресчета микросхемы становится равным [10.



Рис. 8. Декада на ИС К155ИЕ4 (а) и диаграмма ее работы (б)

Для установки рассмотренной декады в 0 внешним сигналом необходимо введение в нее логических элементов И — НЕ (рис. 9).

         


Рис. 9. Декада на ИС К155ИЕ4 с возмож­ностью установки в 0

Рис. 10. Выводы ИС К155ИЕ6 (а) и К155ИЕ7 (о)

В табл. 2 приведены номера выводов ИС, которые нужно соединить между собой для получения различных коэффициентов пересчета К-

Все делители, полученные соединением выводов ИС по табл. 2, работают по одному принципу — при достижении состояния, соответствующего необходимо­му коэффициенту пересчета, происходит установка счетчика в 0. Исключение составляет делитель на 7 на микросхеме К156ИЕ2. В этом делителе после подсчета шести импульсов на входах R9 формируются уровни логической 1, поэтому из состояния 5 делитель сразу переходит в состояние 9, минуя 6, 7 и 8. Код работы этого делителя — невесовой.



Делители на ИС К155ИЕ5 и К156ИЕ2 работают в весовом коде 1 — 2 — 4 — 8, код делителей на ИС К155ИЕ4 — 1 — 2 — 4 — 6 при использовании входа 14 и 1 — 2 — 3 при подаче входного сигнала на вход 1.

Интегральные микросхемы К155ИЕ6 и К155ИЕ7 — реверсивные счетчики. Первый из них — двоично-десятичный, второй — двоичный, оба работают в коде 1 — 2 — 4 — 8. Цоколевка обеих микросхем одинакова (рис. 10), отличаются они тем, что первый считает до 10, второй — до 16.

Таблица 2



Рассмотрим для примера работу ИС К155ИЕ6. В отличие от рассмотрен­ных ранее счетчиков, эта ИС имеет большее число выходов и входов. Входы + 1 и — ii служат для подачи тактовых импульсов, +1 — при прямом счете, — 1 — при обратном. Вход R служит для установки счетчика в 0, вход С — для предварительной записи в счетчик информации, поступающей по входам D1 — D8.

Установка принтеров счетчика в 0 происходит при подаче уровня логичес­кой 1 на вход R, при этом на входе С должен быть уровень логической I. Для предварительной записи в счетчик любого числа от 0 до 9 его следует подать на входы D1 — D8 (D1 — младший разряд, D8 — старший), при этом на входах R и С должен быть логический 0.

Режим предварительной записи может использоваться для построения де­лителей частоты с перестраиваемым коэффициентом деления для учета фик­сированной частоты (например, 465 кГц) в цифровой шкале радиоприемника. Если этот режим не используется, на входе С должен постоянно поддержи­ваться уровень логической 1.

Прямой счет осуществляется при подаче отрицательных импульсов на вход + 1, при этом на входах — 1 и С должна быть логическая 1, на входе R — ло­гический 0. Переключение триггеров счетчика происходит по спадам входных импульсов, одновременно с каждым десятым входным импульсом на выходе >9 формируется отрицательный выходной импульс переполнения, который мо­жет подаваться на вход + 1 следующей ИС многоразрядного счетчика. Уров­ни на выходах 1 — 2 — 4 — 8 счетчика соответствуют состоянию счетчика в дан­ный момент (в двоичном коде).


При обратном счете входные импульсы пода­ются на вход — 1, выходные импульсы снимаются с выхода <0. Пример вре­менной диаграммы работы счетчика приведен на рис. 11.

Первый импульс установки в 0 устанавливает все триггеры счетчика в 0. Три следующих импульса, поступающих на вход +1, переводят счетчик в со­стояние 3, которому соответствуют логические 1 на выходах 1 и 2 и 0 — на на 4 и 8 Если на входах Dl — D4 логический 0, на входе D8, логиче­ская 1, импульс на входе С устанавливает счетчик в состояние 8. Сле-

дующие шесть импульсов, поступающие на вход +1, переводят счетчик после­довательно в состояния 9, 0, 1, 2, 3, 4. Одновременно с импульсом, переводя­щим счетчик в 0, на выходе >9 появляется выходной импульс прямого счета. Следующие импульсы, поступающие на вход — 1, изменяют состояние счетчика в обратном порядке: 3, 2, 1, 0, 9, 8 и т. д. Одновременно с импульсом обратного счета, переводящим счетчик в состояние 9, на выходе <0 появляется выходной импульс.



Рис. 11. Временная диаграмма работы ИС К155ИЕ6

В ИС К155ИЕ7 импульс на выходе >15 появляется одновременно с им-нульсом на входе +1 при переходе счетчика из состояния 15 в состояние 0, а на выходе <0 — при переходе счетчика из 0 в 15 одновременно с импульсом на входе — 1,

Интегральную микросхему КЛ55ИЕ8 обычно называют делителем частоты с переменным коэффициентом деления, однако это не совсем точно. Эта ИС содержит 6-разрядный двоичный счетчик, элементы совпадения, позволяющие выделять несовпадающие между собой импульсы — каждый второй, каждый четвертый, каждый восьмой и т. д., и элемент собирания, который позволяет подавать на выход часть или все выделенные импульсы, в результате чего средняя частота выходных импульсов может изменяться от от 1/64 до 63/64 частоты входных импульсов.

Цоколевка ИС приведена на рис. 12, пример временной диаграммы рабо­ты — на рис. 13. Для наглядности на рис. 12 вынесен логический элемент И — НЕ, входящий в ИС. Интегральная микросхема имеет следующие входы: вход V — запрет счета, при подаче на который логической 1 счетчик не считает, вход Я — установки 0, установка триггеров счетчика в 0 происходит при по­даче на него уровня логической 1.


Вход С — вход тактовых импульсов отрица­ тельной полярности, переключение триггеров счетчика происходит по спадам входных импульсов. Входы XI — Х32 позволяют управлять выдачей отрицатель­ных выходных импульсов, совпадающих по времени со входными, на выход Z. На рис. 13 в качестве примера показано, какие импульсы выделяются на вы­ходе 1 при подаче логической 1 на вход Х32 (диаграмма Х32), при подаче 1 вход Х16 (диаграмма Х16) и на вход Х8 (диаграмма Х8). В этих случаях на выходе Z выделяется соответственно 32, 16 или 8 равномерно расположенных импульсов. Если же одновременно подать логическую 1 на несколько входов, например на Х32 и Х8, то, как показано на диаграмме Z, на выходе Z выде­лится 40 импульсов, но расположенных неравномерно. В общем случае количе­ство импульсов N на выходе 2 за период счета составит

N=32-X32 + 16-Х16+8-Х8 + 4-Х4 + 2.Х2+Х1,

где XI — Х32 принимают значения соответственно 1 или 0 в зависимости от того, подан или нет уровень логической 1 на соответствующий вход.

 


Рис. 12. Выводы ИС К155ИЕ8

Рис. 13. Временная диаграмма работы ИС К155ИЕ8

На выходе Р выделяется отрицательный импульс, фронт которого совпада­ет со спадом 63-го тактового импульса, спад — со спадом 64-го. Этот импульс может использоваться при каскадном соединении интегральных микросхем К155ИЕ8. Вход Т — вход опробирования, при подаче на него уровня логичес­кой 1 выдача импульсов по выходу Z прекращается.

           


Рис. 14. Схема соединения двух ИС К155ИЕ8                            Рис. 15. Выводы ИС К155ИР1

На рис. 14 приведена схема соединения двух делителей К155ИЕ8, позволя­ющая получить на выходе от 1 до 4095 импульсов при подаче на вход 4096= = 642 импульсов. Число импульсов на выходе подсчитывается по формуле, ана­логичной приведенной выше, в которой коэффициенты имеют значения от 2048 до 1. Если требуется соединить большее число делителей, их соединение производится аналогично рис. 14, однако выходной элемент И — НЕ, выполняющий функцию ИЛИ — НЕ для отрицательных импульсов, поступающих с выходов Z делителей, необходимо использовать из отдельной логической микросхемы се­рии К155.



Интегральная микросхема К155ИР1 (рис. 15) — четырехразрядный сдвига­ ющий регистр. Интегральная микросхема позволяет производить последователь­ную и параллельную запись информации в триггеры регистра, последовательное и параллельное считывание информации, сдвиг информации.

Вход С1 ИС служит для подачи положительных тактовых импульсов, сдвигающих информацию, сдвиг происходит по спадам импульсов. При подаче положительного импульса на вход С2 по его спаду происходит запись в триггеры регистра информации, присутствующей на входах Dl — D4. Запись со-входов D1 — D4 может происходить лишь мри наличии логической 1 на управ­ляющем входе S, сдвиг — при наличии логического 0. Для последовательной записи информации используется вход DO, запись происходит в режиме сдвига.

Наличие управляющего входа S расширяет возможности использована» ИС. Если соединить собой входы С1 и С2, можно управлять сдвигом и за­писью, лишь изменяя логический уровень на входе S. Можно соединить меж­ду собой входы С2 и D, специального управляющего сигнала в этом случае не потребуется — сдвиг будет происходить при подаче импульсов на вход С1, за­пись — при подаче на С2.

Если вход D1 подключить к выходу 2, D2 — к выходу 3, D3 — к выходу 4, D4 использовать в качестве входа последовательной записи, получится ревер­сивный сдвигающий регистр. При подаче импульсов на вход С1 будут про­исходить последовательная запись информации со входа DO и сдвиг в сторону возрастания номеров выходов (сдвиг вправо). При подаче импульсов на вход С2 запись будет происходить со входа D4, сдвиг — в сторону уменьшения но­меров выходов (сдвиг влево). В полученный таким образом реверсивный сдви­гающий регистр параллельная запись информации невозможна.



с появлением интегральных микросхем. Цифровые


Издательство «Радио и связь», 1984
ПРЕДИСЛОВИЕ
Широкое внедрение цифровой техники в радиолюбительское творче­ство связано с появлением интегральных микросхем. Цифровые устройства, со­бранные на дискретных транзисторах и диодах, имеют значительные габариты и массу, ненадежно работают из-за большого количества элементов и особенно паяных соединений. Интегральные микросхемы, содержащие десятки, сотни, а иногда и тысячи активных элементов, позволили по-новому подойти к про­ектированию и изготовлению цифровых устройств. Надежность отдельной ин­тегральной микросхемы мало зависит от количества элементов и близка к на­дежности одиночного транзистора, а потребляемая мощность в пересчете на отдельный элемент резко уменьшается по мере повышения степени интегра­ции. В результате стало возможным собирать сложнейшие устройства, изго­товить которые без использования интегральных микросхем было бы совер­шенно невозможно.
В настоящее время в радиолюбительской литературе отсутствует систе­матическое изложение вопросов практического использования интегральных микросхем. В книгах теоретического характера вопросы проектирования циф­ровых устройств рассматриваются обычно без учета особенностей интеграль­ных микросхем различных серий, а описание правил использования конкретных интегральных микросхем разбросано по специальным изданиям, руководящим техническим материалам и стандартам, недоступным широкому кругу радио­любителей. Автором сделана попытка изложить принципы построения циф­ровых устройств на конкретных примерах с привлечением необходимых теоре­тических положений.
В своей основе книга содержит опыт автора по изучению и применению интегральных микросхем транзисторно-транзисторной логики (в основном се­рии К155), частично нашедший отражение в статьях, опубликованных в жур­нале «Радио» в 1977 — 1982 гг. В книге рассмотрены как общие вопросы при­менения комбинационных (элементы И — НЕ, И — ИЛИ — НЕ, НЕ, ИЛИ — НЕ, И, ИЛИ, дешифраторы, мультиплексеры, сумматоры по модулю 2, полные сумма­торы) и последовательноетных структур (триггеры, счетчики, сдвигающие ре­гистры) интегральных микросхем серий К155, так и описания практи­ческих конструкций с их использованием. Описания различных цифровых уст­ройств достаточно детализированы, содержат объяснение принципа действия, временные диаграммы, указания по настройке, чертежи печатных плат.
Отзывы о книге направляйте по адресу: 101000, Москва, Почтамт, а/я 693, издательство «Радио и связь», Массовая радиобиблиотека.
Автор
ЦИФРОВЫЕ ИНТЕГРАЛЬНЫЕ
МИКРОСХЕМЫ СЕРИИ К155

С КНОПОЧНЫМ НАБОРОМ ВРЕМЕНИ


Набор времени с помощью лереключателей не всегда удобен, поэтому представляет интерес построение будильников и таймеров без переключателей, с набором времени с помощью кнопок. Схема самого простого варианта тако­го устройства (по принципу действия, но не по количеству микросхем) приведе­на на рис. 55. Для упрощения схемы показана ее часть, соответствующая одной цифре набираемого времени. Левая декада (микросхема DD1) — счетчик време­ни с индикатором HG1, подключенным через дешифратор DD2. Правая декада (микросхема DD3) — устройство памяти, в которое предварительно записано необходимое время включения исполнительного устройства. Запись может быть осуществлена подачей на вход декады импульсов с последующим контролем записанного числа по индикатору HG2. Декады памяти могут быть соединены между собой в счетчик. В первом случае на вход счетчика памяти следует подавать вначале импульсы достаточно высокой частоты, обеспечивающие пе­реключение старшей декады счетчика с частотой 1 — 2 Гц, затем частоту им­пульсов снижают, поочередно устанавливая декады счетчика памяти в нужное состояние, контролируя на индикаторах необходимое время. Во втором случае через кнопки на входы декад памяти независимо друг от друга подают им­пульсы с частотой 1 — 2 Гц и устанавливают каждую декаду в нужное состоя­ние. Второй способ удобнее, так как установка каждой цифры производится независимо от остальных. Необходимое время может быть записано также с использованием микросхемы К155ТМ5, установленной на место DD3.

Выходы декады счетчика времени и декады счетчика памяти подключают­ся к схеме сравнения кодов, собранной ,на ИС DD5 и DD6. При совпадении ко­дов на выходах декад DD1 и DD3 на всех выходах DD5 будут уровни логи­ческого 0.

В момент пуска на счетчик времени (на схеме показана одна его декада DD1) подают импульсы с необходимой частотой. В момент, когда код времени, поступающий с выходов декады DD1 на входы элемента сравнения DD5, сов­падет с кодом, хранящимся в декаде DD3, все элементы микросхемы DD6 выключатся.
Когда коды всех декад счетчика времени совпадут с кодами всех декад памяти, выключатся все микросхемы, выходы которых объединены с DD6, на выходе устройства появится уровень логической 1 и сработает ис­полнительный механизм.

Из приведенного описания следует, что дешифратор DD2 и индикатор HG1 необходимы лишь для оперативного контроля работы таймера и могут быть исключены из схемы.

Уменьшить количество дешифраторов и индикаторов в схеме рис. 55 можно также установкой электронного переключателя, подключающего входы дешиф-I Ратора к выходам декады счетчика или декады памяти (рис. 56).



Рис. 55. Схема одного десятичного разряда таймера с кнопочным набором времени



Рис. 56. Схема одного разряда таймера с переключением индикаторов

Схемы реле времени, построенных по описанному принципу, довольно слож­ны, но это практически единственно возможный путь установки в часы бу­дильника с кнопочным набором времени подачи сигнала. Другим удобством этих схем является возможность неоднократного повторения один раз набранной выдержки, а также индикация времени, прошедшего от начала выдержки, в времени, соответствующего окончанию выдержки.

Если изготовляется собственно таймер, можно пойти по другому пути. В счетчик записывают число, соответствующее требуемой выдержке. В момент на­чала выдержки на счетчик подают импульсы с необходимой частотой, умень­шающие показания счетчика. При достижении счетчиком состояния 0 счетчик останавливается, что является сигналом окончания выдержки.

             


Рис. 57. Схема таймера на ИС К155ИЕ2

Рис. 58. Схема таймера с установкой вре­мени переключателями

В зависимости от типа использованных в счетчике таймера микросхем за­пись необходимого времени может производиться или подачей на счетчик им­пульсов с непрерывным контролем по индикаторам, или от переключателей без контроля. На рис. 57 приведена схема таймера с использованием в счетчике микросхемы К155ИЕ2. Особенностью схемы счетчика является подключение ин­дикаторов к его дешифраторам — порядок подключения катодов газоразрядных ламп противоположен обычно используемому.


Поэтому при состоянии счетчика 000 на лампах индицируется 999, при состоянии 001 — 998, при состоянии 999 индицируется 000. При подаче на вход счетчика импульсов показания индика­торов будут уменьшаться. Запись необходимого времени производят подачей на вход Установка времени счетчика импульсов с различной частотой.

Для отсчета времени на вход Счет также подают импульсы с необходимой частотой. В момент, когда счетчик установится в состояние 999, а на лампах будет индицироваться 000, на выходе элемента ИЛИ — НЕ появится уровень логической 1, который и будет сигналом окончания выдержки. Недостатком тай­мера, собранного по такой схеме, является невозможность повторения набранной один раз выдержки. Элемент ИЛИ — НЕ должен собираться на диодах и тран­зисторе аналогично схеме рис. 54.



Рис. 59. Схемы шифраторов наборов времени с четырехплатным (а) и одноплатным (б) пе­реключателями

На рис. 58 приведен вариант схемы таймера, в котором установка необхо­димого времени производится переключателями и контроль времени по индикаторам не обязателен. Шифраторы набора времени SA1, SA2 могут быть вы­полнены или на четырехплатных переключателях на 10 положений (рис. 59,а), или на одноплатном переключателе, но с использованием микросхем (рис. 59,6). На переключателях SA1, SA2 и т. д. набирают необходимое время, на шину Запись подают импульс, обеспечивающий запись этого времени в счетчик, после чего на вход Счет подают импульсы с необходимой частотой.

При установке счетчика в 0 на выходе элемента ИЛИ — НЕ появится уро­вень логической 1, указывающий на окончание выдержки. Таймер, собранный по схеме рис. 58, позволяет многократно повторять выдержку, набранную на переключателях.

Для борьбы с дребезгом контактов кнопок в устройствах по схемам рис. 55 — 57 можно использовать подачу импульсов отрицательной полярности с длительностью, существенно меньшей, чем порядок длительности импульсов дребезга. Тогда за время дребезга контактов через них при достаточно малой частоте импульсов может пройти максимально один импульс.





Рис. 60. Схема формирователя счетных импульсов

Для формирования отрицательных импульсов малой длительности и деле­ния их частоты можно использовать схему рис. 60. Триггер Шмитта DD1.1, DD1.2 формирует прямоугольные импульсы со скважностью, близкой к 2, и ча­стотой 100 Гц. Продифференцированные цепочкой C1R4R5 и сформированные инверторами DD1.3 и DD1.4 импульсы имеют отрицательную полярность и длительность около 2 мкс. Уже упоминавшейся особенностью микросхемы К155ИЕ1 является равенство длительностей входных и выходных импульсов, что и требуется в данном случае.

При подаче импульсов на вход счетчика при счете времени необходимо, что­бы первый счетный импульс после пуска пришел на вход счетчика ровно через время, равное периоду этих счетных импульсов. Это обеспечит высокую точ­ность выдержки времени (и правильность пуска в часах). Поэтому перед нача­лом счета на вход установки 0 делителей, формирующих необходимую частоту счетных импульсов, следует подать сигнал Уст.О, который снимают в момент пуска, что обеспечивает необходимую начальную фазу импульсов. Погрешность момента подачи первого импульса не превысит периода входной частоты де­лителя. Для схемы рис. 60 эта погрешность составляет 0,01 с для любого вы­хода.

При подаче сигналов на входы интегральных микросхем через контакты сле­дует также обязательно подключать входы ИС, отключаемые в процессе пере­ключения от источника сигнала, к источнику питания через резисторы 1 — 10 кОм. Это исключит возможность ложных срабатываний микросхем от наво­док в те моменты, когда входы микросхем отключены от источника сигнала.



С НАБОРОМ ВРЕМЕНИ ПЕРЕКЛЮЧАТЕЛЯМИ


Электронные часы во многом теряют, если в них не встроен будиль­ник. Небольшое усложнение описанных выше вариантов часов позволяет исправить это положение. Близкими по схемам к будильникам являются тай­меры — электронные реле времени.

Пожалуй, самым простым по своей технической сути является построение будильников и таймеров по следующему принципу. В каждой декаде или счет­чике часов к выходам дешифраторов через переключатели подключают эле­мент ИЛИ — НЕ (ИЛИ) (рис. 52). На вход счетчика подают импульсы часто­той, определяемой шагом, с которым надо иметь установку времени. Число де­кад счетчика определяется максимальной требуемой выдержкой.

              

Рис. 52. Схема таймера с набором времени переключателями

Рис. 53. Многовходовый элемент ИЛИ — НЕ на ИС К155ЛА8

Переключателями устанавливают необходимое время. В момент пуска на вход Счет подают импульсы. Пока состояние счетчика не соответствует набран­ному переключателями времени, на всех или на части входов элемента ИЛИ — НЕ присутствует логическая 1 и на выходе элемента DD3 — логический 0. Ког­да счетчик придет в состояние, соответствующее набранному переключателями, на всех входах элемента ИЛИ — НЕ будет логический 0, элемент выключится и на его выходе появится сигнал логической 1, который включит соответствую­щий исполнительный механизм.

При числе декад более четырех в качестве элемента ИЛИ — НЕ удобно ис­пользовать микросхемы с открытым коллектором К155ЛА8, допускающие объ­единение выходов (рис. 53).

Если в качестве дешифраторов DD2, DD5 схемы рис. 52 использованы микросхемы К155ИД1, вывод 7 DD3 следует соединить с общим проводом че­рез любой маломощный кремниевый диод (см. сир. 19). Если же используются дешифраторы К155ИДЗ, К155ИД4 (см. рис. 23), этого диода, а также резис­торов Rl — R4 не требуется.

Если к выходу дешифраторов подключены газоразрядные индикаторы, не­обходима защита элемента ИЛИ — НЕ от высокого напряжения «а катодах лам­пы.
Диоды в цепях входов микросхемы ИЛИ — НЕ приведут к еще большему рассогласованию уровней, поэтому элемент ИЛИ — НЕ лучше выполнить на ди­одах и транзисторе.

На рис. 54 приведена схема будильника для описанных выше часов на микросхемах серии К134.



Рис. 54. Схема будильника для часов на ИС серии К134

Диоды VD1 — VD11 и транзистор VT12 образуют элемент ИЛИ — НЕ. Если контакты переключателя SB5 замкнуты, триггер DD1.2, DD1.3 сигналом логиче­ского 0 закрывает прохождение сигналов с выходов делителя частоты кварце­вого генератора через DD1.4 и VT15 на головку НА1. В случае разомкнутого состояния контактов SA5 (включение будильника) при совпадении показаний часов и времени, набранного переключателями SA1 — SA4, транзистор VT15 вы­ключается, на выходе DD1.1 появляется уровень логического 0, триггер пере­ключается и на головку поступает сигнал 500 — 1000 Гц, прерываемый с часто­той 1 — 2 Гц. Сигнал будильника будет звучать до тех пор, пока контакты SA5 не будут вновь замкнуты. Конденсатор С1 служит для исключения ложного срабатывания будильника от помех и при переходных процессах в счетчиках часов. Диоды VD13 и VD14 и резистор R8 можно исключить, заменив элемент DD1.4 трехвходовым. Батарея GB1 из двух элементов 316 необходима, так как бестрансформаторный блок питания часов не может обеспечить необходимой мощности. Поскольку расход энергии батареи невелик, одного комплекта дос­таточно на год работы часов. Головка НА1 — любого типа. Переключатели SA1 — SA4 — М.ПН-1, SA5 — П2К, транзисторы — любые кремниевые соответ­ствующего типа проводимости. Диоды VD1 — VD4 на напряжение не менее 60 В, остальные — любые кремниевые маломощные.

По такой же схеме можно изготовить будильник и для часов на микро­схемах серии К.155. В них батарея GB1 не обязательна — можно использовать основной сетевой источник питания. Частоту 500 Гц необходимо получить, по­делив на одном триггере частоту 1000 Гц с выхода DD4 (см. рис. 40). Использовать непосредственно частоту 1000 Гц, так же как и 1 Гц с выхода Dub, нельзя из-за высокой скважности импульсов на выходе микросхемы К155ИБ1.Поэтому вместо частоты 1 — 2 Гц можно использовать частоту 0,5 Гц, поделив 1 Гц с помощью триггера, или 1,25 — 2 Гц, поделив частоту 10 Гц на цепочке из трех триггеров любой из микросхем К.155ИЕ2 — К.155ИЕ5.



СПИСОК ЛИТЕРАТУРЫ


Алексеев С. А. Применение микросхем серии KL55. — Радио, 1977, № 10 с. 39 — 41; L978, № 5, с. 37 — 38; 1982, № 1, с. 30 — 34.

Бирюков С. А. Дисплей в трансивере. — Радио, 1977, № 5, с. 17 — 19.

Бирюков С. А. Цифровая шкала и электронные часы. — Радио, Ш77, № 9, с. 19 — 22.

Бирюков С. А. Электронные часы. — Радио, 1980, № 1, с. 52 — 54.

Бирюков С. А. Цифровой частотомер. — Радио, 1981, № 10, с. 44 — 47.

Бирюков С. А. Блок питания цифрового частотомера. — Радио, 1081, №12, с. 54 — 55.

Бирюков С. А. Радиолюбительские цифровые устройства. — М.: Радио и связь, 1982. — 72 с.

Бирюков С. А. Генератор прибора для настройки музыкальных инструмен­тов. — Радио, ,Ш82, № 4, с. 33 — 35.

Бирюков С. А. Цифровая шкала. — Радио, 1982, № 11, с. 18 — 20; № 12, с. 23 — 25.



Таймер имеет следующие параметры: максимальная



Таймер имеет следующие параметры: максимальная выдержка 9999 с (дискрет 1 с) и 999,9 с (дискрет 0,1 с), точность выдержки определяется точ­ностью частоты сети, набор времени — кнопочный, аналогично набору чисел в микрокалькуляторах, возможно многократное повторение один раз набранной выдержки, на табло индицируется оставшееся до окончания выдержки время.

Блок управления (рис. 61) содержит шифратор (многокнопочный переклю­чатель SB1, микросхемы DDlt DD2, DD3.1) распределитель строб-импульсов (DD9, DD3.2, DD3.3, DD3.4, DD10, DD11, DD12), формирователь счетных им­пульсов (DD4, DD5, DD6), генератор звукового сигнала (DD7.1, DD7.2, DD7.3), триггеры управления (DD9.1 и DD9.2, DD8.1 и DD7.4, DD8.2 и DD8.3).

Счетная часть (рис. 62) содержит регистр памяти (DD13 — DD16), счетчик (DD17 — DD20), диодный элемент ИЛИ (матрицы А1 и А2), преобразователи кода 1 — 2 — 4 — 8 в коды семисегментного индикатора (DD21 — DD24), резистор-ные блоки (R24 — R27), семисегментные полупроводниковые индикаторы АЛ305А (HG1 — HG4). На рис. 62 развернута половина схемы. Нижняя часть схемы от­личается от верхней отсутствием цепи зажигания десятичной точки (SA3.2, нижние резисторы резисторных блоков R24 и R25, сегмент h — точка HQ1).

При нажатии на одну из кнопок переключателя SB1 (см. рис. 61) на вы­ходах 1 — 2 — 4 — 8 шифратора появляется код, соответствующий нажатой кноп-ке. Одновременно входной ток ИС шифратора вместе с током одного из ре­зисторов R2 — R11 включает транзистор VT2, который, в свою очередь, уста­навливает все триггеры в необходимые состояния. Спад положительного им­пульса с выхода 11 DD9.2 дифференцируется цепочкой C7R18 и формируется в прямоугольный импульс отрицательной полярности триггером Шмитта DD3.2, DD3.3. Этот импульс обеспечивает выдачу импульса отрицательной полярности с выхода 0 стробируемого по входам А1 и А2 дешифратора DD11. После ин­вертирования элементом DD12 с выхода 8 этот импульс в положительной по­лярности поступает на вход стробирования ИС регистра памяти DD16 (см.
Уровень логической 1 с выхода DD8.2 ус­танавливает в состояние 0 счетчик D10 и, поступая на входы ИС счетчика

DD17, DD20 (см. рис. 62), блокирует перепись информации из регистра па­мяти в счетчик. Уровень логического 0 с выхода DD8.3 (см. рис. 61) посту­пает на входы R DD5 и DD6 и разрешает деление частоты сети.

Импульсы с выходов DD5 и DD6 через инверторы DD4.3 и DD4.4 через переключатель SA3.1 поступают на вход вычитания микросхемы DD17 (см. рис. 62). Инверторы обеспечивают необходимую полярность импульсов счета.

Содержимое счетчика начинает уменьшаться. Процесс счета контролирует­ся на индикаторах HG1 — HG4. В момент времени, когда счетчик досчитает до 0, уровень логического 0 с выхода элемента ИЛИ, собранного на двух диодных матрицах А1 и А2 и резисторе R17, через согласующий эмиттер-ный повторитель VT3 поступает на входы элементов DD7.4 и DD8.3 и уста­навливает триггеры в противоположные состояния. Сигнал логического 0 с вы­хода DD8.2 (см. рис. 61) производит перепись информации из регистра па­мяти DD13 — DD16 в счетчик, благодаря чему процесс счета блокируется.

Сигнал логической 1 с выхода DD7.4 поступает на вход 10 DD7.3 и раз­решает генерацию звукового сигнала в генераторе DD7.1, DD7.2, DD7.3. По­скольку деление частоты сети разрешено сигналом логического 0, поступа­ющим на входы R DD5 и DD6, с выхода DD8.1 на вход 1 DD7.1 поступает меандр с частотой 1 Гц и звуковой сигнал прерывается с такой же частотой. Сигнал будет звучать до тех пор, пока не будет нажата одна из кнопок пе­реключателя SB1 или кнопка Пуск. В первом случае переключится только триггер DD8.1, DD7.4, прекратится звучание сигнала и блокируется деление частоты. При последующем нажатии кнопки Пуск будет обеспечена необходи­мая фаза счетных импульсов.

Если же кнопка Пуск будет нажата при звучащем сигнале, фаза импуль­сов счета будет случайной и пуск возможен с ошибкой в сторону уменьше­ния выдержки, не превышающей величины дискрета (0,1 с или 1 с).



Цепь R14C6 исключает ложный пуск таймера в момент отпускания SB2 из-за дребезга контактов. Элементы R23, R24, СИ, С12, VD5 обеспечивают исходное состояние триггеров и счетчика DD10 при включении таймера в сеть.

Электромагнитное исполнительное реле может быть подключено между вы­ходом DD8.1 и цепью +5 В, если его рабочий ток не превышает 20 мА при напряжении +5 В (герконовое реле РЭС-55), или через эмиттерный повто­ритель на транзисторе КТ361 с любым индексом (РЭС-LO или РЭС-15 на ра­бочее напряжение 5 В).

Интегральные микросхемы К155ИЕ5 и К155ИД4 можно заменить менее дефицитными К.155ТМ2 и КД55ЛА4 (рис. 63), одновременно необходимо в ка­честве DD9 также установить К.155ЛА4. В связи с тем что полярность вход­ных импульсов для К155ТМ2 другая, чем у КЛ55ИЕ5, подключение входа с DD10.1 и входов стробирования изменено, сигнал установки 0 снимается с дру­гого плеча триггера (DD8.3 на рис. 61).

Если многократное повторение выдержки не требуется, из схемы таймера можно исключить регистр памяти DD13 — DD16 (см. рис. 62), а также мик­росхему DD12 (см. рис. 61). В этом случае выходы 1, 2, 4, 8 шифратора под­ключают к соответствующим входам DD17 — DD20, а выходы дешифратора — непосредственно ко входам DD17 — DD20. Инверторы DD12 не требуются, так как полярность импульсов записи DD17 — DD20 отрицательная и совпадает с полярностью выходных импульсов дешифратора DD11. В этом случае необходимо также между эмиттером VT3 и входами DD7.4 и DD8.3 включить диф­ференцирующую цепочку, аналогичную C1R4R5 на рис. 60. Емкость конден­сатора этой цепочки следует увеличить до 0,047 мкФ.

В таймере использованы резисторы МЛТ-0,125 и МЛТ-0,25, конденсато­ры КМ-5а (С1 — С6) и КМ-6 (С7 — С8), переключатели П2К (два пятикнопоч-ных без фиксации — SB1, однокнопочные без фиксации — SB2 и с фикса­цией — SB3 и не показанный на схеме выключатель сети SA4). Головка НА1 — любая электромагнитная с сопротивле­нием 30 — 100 Ом или динамическая 4 — 8 Ом, включенная через выходной транс­форматор от любого транзисторного приемника.





Рис. 63. Схема замены интегральных мик­росхем К155ИЕ5 и К155ИД4 на К155ТМ2 и К155ЛА4. (В качестве DD11.4 должен быть установлен DD9.3.)

Семисегментные индикаторы АЛ305А, можно заменить любыми дру­гими с общим анодом, например АЛ304Г, АЛС324Б, или при замене микросхем К514ИД2 на К514ИД1 — индикаторами с общим катодом (АЛ304А, АЛ304Б, АЛС314А), одновременно исключив ре-зисторные блоки. Диодные матрицы КД909 можно заменить на КД903, КД908, КДС627.

При отсутствии переключателей П2К в качестве SB1 можно применить любые другие кнопки, однако их конструкция должна быть такой, чтобы при нажатии вначале размыкались нормально замкнутые, затем замыкались нор­мально разомкнутые контакты. Кнопки КМ-2 требуют специальной регулировки подгибанием пружины.

Все элементы таймера, в том числе и переключатели, установлены на дву­сторонней печатной плате, чертеж которой приведен на рис. 64. Настройки таймер не требует. При желании можно подобрать емкость конденсатора С8 для получения необходимой высоты тона сигнала. При применении в качестве SB1 кнопок другого типа может потребоваться подбор емкости С7. Блок пита­ния таймера должен обеспечивать напряжение 5 В при токе до 0,8 А.

Если необходимо, таймер можно доработать так, чтобы набор времени осуществлялся в минутах и секундах. Схема такой доработки приведена на рис. 65. В положении SA2, указанном на рис. 65, таймер работает, как опи­сано выше, — частота импульсов заполнения счетчика 10 Гц, цена младшего разряда 0,1 с, зажжена запятая перед индикатором HG1.

При переводе переключателя SA2 на рис. 65 в нижнее по схеме поло­жение зажигается запятая перед индикатором HG2 (см. рис. 61), разделяющая минуты (индикаторы HG3 и HG4) и секунды (HG1, HG2). Элемент DD25.4 разрешает прохождение инвертированных элементов DD9.3 и продифференци­рованных цепочкой C13R28R29 импульсов с выхода 13 DD18 на вход 11 той же ИС. В результате каждый раз в момент спада отрицательного импульса на выходе 13 DD18 на вход И DD18 поступает короткий отрицательный им­пульс, производящий запись кода, поступающего на входы 9, 10, 1, 15 DD18 с выходов элементов DD26.2, DD26.4, DD27.2, DD27.4. В нижнем по схеме положении переключателя SA2.2 и уров­не логической 1, поступающем с вы­хода 5 DD8, на выходах указанных эле­ментов код цифры 5, в результате чего DD18 переходит в состояние 5 и коэффициент ее пересчета равен ше­сти.


При записи исходного состояния импульс отрицательной полярности с вы­хода 8 DD8 подключает ко входам DD18 сигналы с выхода DD14 независимо от положения переключателя SA2.2.

Максимальная выдержка времени в доработанном таким образом таймере составляет 99 мин 99 с, т. е. несколько более 100 мин.

Интегральные микросхемы DD25 — DD27 можно разместить на небольшой печатной плате, размещенной над сво­бодным от элементов месте основной платы. Для уменьшения размеров до­полнительной платы целесообразно при доработке использовать ИС серий К.133 или К.136.





Рис. 64. Печатная плата тай­мера со стороны установки микросхем (а) и с противо­положной стороны (б)



Рис. 65. Схема доработки таймера

ПРИБОРЫ ДЛЯ ИЗМЕРЕНИЯ ЧАСТОТЫ



УНИВЕРСАЛЬНЫЙ ЦИФРОВОЙ ЧАСТОТОМЕР


Описываемый прибор позволяет измерять частоту электрических ко­лебаний до 180 МГц, период колебаний и длительность импульсов от 1 мкс до 107 с, может работать как счетчик импульсов. Точность измерений — 3-10~6 от измеряемой величины +1 знак младшего разряда. Минимальная цена младшего разряда составляет 0,1 Гц при измерении частоты и 0,1 мкс при измерении периода и длительности. Частотомер можно применять при градуировании приборов, использовать в качестве отсчетного устройства в ге­нераторах и любительских передатчиках, при налаживании различных радио­электронных устройств. Чувствительность при измерении частоты — около 20 мВ на частотах до 20 МГц, около 1О0 мВ на частоте 180 МГц. Максималь­ное значение входного сигнала — 5 В.

Прибор собран в основном на микросхемах серии К155, в высокочастот­ном делителе использованы микросхемы серии К500. Основными узлами ча­стотомера являются блок управления, схема которого приведена на рис. 66, счетчик и кварцевый генератор с делителем частоты (рис. 67) и блок пита­ния.

Схема соединения блока управления (БУ) со счетчиком, кварцевым гене­ратором и делителем частоты (КГ), высокочастотным делителем (СТ10) при­ведена на рис. 68.

Принцип действия частотомера основан на измерении числа импульсов, поступающих на вход счетчика в течение строго определенного времени (в дан­ном приборе 10, 1, 0,1 или 0,01 с). Необходимый временной интервал форми­руется в блоке управления.

Кварцевый генератор частотомера собран на одном логическом элементе DD6.1 (см. рис. 67). Подбором емкости конденсаторов С14 и С15 устанав­ливают номинальную частоту генерации 10 МГц. Эта частота делится цепоч­кой микросхем DD7 — DD14 до 0,1 Гц. Элементы DD6.3 и DD6.4 — буферные. Использование в последних разрядах делителя микросхем К155ИЕ2, устанавливаемых в 9, позволяет до 0,1 с сократить промежуток времени между окон­чанием импульса «установка в 0» и началом эталонного интервала на низко­частотных диапазонах частотомера.




Рис. 66. Блок управления частотомера

В режиме измерения частоты эталонная частота выбранная переключате­лем SA4.1 Диапазон (см. рис. 68), через инвертор DD4 и переключатель SA1.3 Режим поступает на вход Т блока управления (см. рис. 66).

На вход F блока управления со входа частотомера поступает сигнал, ча­стоту которого необходимо измерить. Он усиливается и ограничивается диф­ференциальным каскадом на транзисторах VT2 и VT3 (см. рис. 66) и посту­пает на инвертор на транзисторе VT6. Диоды VD4 и VD5 исключают насыщение транзистора VT6, что обеспечивает верхнюю частоту формирова­теля около 40 МГц. Положительная обратная связь через резистор R8 обес­печивает триггерный режим работы. Выходной сигнал формирователя через клапан на элементах DD1.1 и DD1.2 поступает на вход счетчика. Второй вход клапана подключен к выходу формирователя эталонного интервала времени, состоящего из двух триггеров микросхемы DD5.

Принцип действия формирователя интервала удобно рассматривать с мо­мента генерации импульса установки 0 мультивибратором, собранным на эле­ментах DD3.1 и DD3.2. В момент генерации импульса (он может быть вы­зван, например, кратковременным замыканием кнопки Пуск) (см. рис. 68) триггеры DD5 и все декады счетчика и делителя, за исключением DD13 и DD14, устанавливаются в 0. На входе 1 DD1.1 уровень логического 0, и им­пульсы измеряемой частоты на вход счетчика не проходят.



Рис. 67. Счетчик и кварцевый генератор частотомера



Рис. 68. Схема соединения блоков частотомера

По окончании импульса установки 0 на выходах делителя кварцевого ге­нератора появляются импульсы соответствующей частоты. Фронт первого им­пульса эталонной частоты, пройдя со входа Т БУ через формирователь на транзисторе VT8 (см. рис. 66) и триггере Шмитта DD1.3 и DD1.4 на входы С триггеров микросхемы DD5, устанавливают их в состояние 1, так как на вход D триггера DD5.1 поступает уровень логической 1 с инверсного выхода . DD5.2, а на вход DD5.2 — с резистора R29. На вход 1 DD1.1 проходит ло­гическая 1, и на счетчик начинают поступать импульсы измеряемой частоты.


На вход D триггера DD5.1, с инверсного выхода DD5. 2 поступает уровень логического 0, поэтому фронт второго импульса эталонной частоты устанав­ливает DD5.1 в 0 и на вход счетчика импульсы перестают поступать. Фронт импульса с инверсного выхода триггера DD5.1, формируемый в момент при­хода второго импульса эталонной частоты после дифференцирования цепочкой C9R21, поступает в виде импульса положительной полярности на вход эле­мента DD2.1. Этот элемент совместно с транзистором VT9 образует ждущий мультивибратор, определяющий время индикации измеряемой частоты. При по­ступлении импульса запуска на входы 9 и 10 DD2.1 этот элемент включает­ся, спад с его выхода через конденсатор С8 поступает на базу транзистора VT9 и закрывает его. Конденсатор С8 перезаряжается через резистор R17 и один из резисторов R37 — R40, выбранный переключателем SA2 Время инди­кации. В момент, когда напряжение на левой обкладке конденсатора С8 до­стигает порога отпирания VT9, последний включается, элемент DD2.1 выклю­чается, его выходной сигнал после инвертирования элементом DD2.2 и диф­ференцирования цепочкой C10R23R24 запускает ждущий мультивибратор DD3.1, DD3.2 и процесс измерения повторяется.

Если переключатель SA2 находится в положении оо, транзистор VT9 не включается, поэтому для каждого измерения необходимо нажать кнопку Пуск (см. рис. 68), а время индикации ограничено лишь временем включения при­бора.

В зависимости от положения переключателя SA4 зажигается одна из де­сятичных точек индикаторов счетчика (управляются секцией SA4.2). В самом нижнем по схеме положении SA4 (частота эталонных импульсов 0,1 Гц, вре­мя счета 10 с) зажигается вторая справа точка, индицируется частота в гер­цах с точностью до 0,1 Гц. В трех других положениях SA4, используемых для измерения частоты, положение десятичной точки соответствует измерению в килогерцах (точность 0,001, 0,01, 0,1 кГц).

Предельная частота работы счетчика на интегральных микросхемах К155ИЕ2 — около 20 МГц, поэтому для измерения более высоких частот ис­пользован высокочастотный делитель СТ10 на микросхемах серии К500.



Схема делителя приведена на рис. 69. Входной сигнал через резистор R41 и конденсатор С23 подается на один из входов дифференциального усилите­ля-ограничителя DD27.1. Второй вход усилителя-ограничителя соединен с об­щим проводом через конденсатор С25. С резистора iR47, являющегося нагруз­кой усилителя, выходной сигнал подается на триггер Шмитта, собранный на элементе DD27.2 и резисторах R48-R51.

Усилитель и триггер Шмитта обеспечивают формирование прямоугольных импульсов из входного сигнала на частотах до 180 МГц.

Выходной сигнал формирователя подается на триггер DD28.1, делящий частоту на два. Его выходной сигнал управляет делителем частоты на пять, собранным на D-триггерах DD28.2, DD29.1, DD29.2. Делитель построен по принципу сдвигающего регистра с перекрестными связями. Для уменьшения ко­эффициента пересчета с шести до пяти прямой выход триггера DD29.1 и ин­версный DD29.2 объединены и образуют так называемый «проводной эле­мент ИЛИ». Объединение выходов элементов серии К500 для образования функции ИЛИ возможно потому, что выходами элементов являются ненагру-женные эмиттерные повторители. В силу этой же их особенности каждый ис­пользуемый выход должен быть нагружен на резистор.

Для защиты входного каскада от перегрузок в схему формирователя вве­ден ограничитель ,R41, VD11, VD12. В связи с тем что у неиспользуемых диф­ференциальных каскадов ИС К500ЛП116 входы не должны оставляться сво­бодными, входы элемента DD27.3 соединены с его выходами.

В положении ВЧ переключателя SA1 (см. рис. 68) сигнал со входа ча­стотомера поступает на вход высокочастотного делителя, а с его выхода — на вход F фомирователя. Положение запятой в этом режиме определяется секцией SA4.3 переключателя Диапазон, частота индицируется в мегагерцах.

В положении К (контроль) переключателя SA1 вход высокочастотного делителя через цепочку C22-R36 подключается к выходу 10 МГц кварцевого генератора. Положение запятой определяется секцией SA4.3, поэтому на ин­дикаторах индицируется частота 1000 кГц.



В положении Т (период) измеряемая и эталонная частоты меняются ме­стами — эталонная частота в пределах 10 МГц — 0, 1 Гц поступает на вход N блока управления и далее на счетчик, а сигнал, период которого нужно из­мерить, — через формирователь VT8, DD1.3, DD1.4 (см. рис. 66) на вход фор­мирователя интервала DD5.1, DD5.2. Формирователь VT8, DD1.3, DD1.4 име-ет открытый вход, что позволяет измерять длительность импульсов большой длительности. Порог его включения около 0,75 В, выключения около 0,7 В, по­этому частотомер можно непосредственно применять для измерения периода и длительности импульсов на выходах ИС ТТЛ.

В положении т (см. рис. 68) (Длительность) фронт импульса, как и при измерении периода, устанавливает DD5.1 (см. рис. 66) в 1, а спад импульса после дифференцирования цепочкой C13R27R28 переводит этот триггер в 0, в результате чего время прохождения эталонной частоты через клапан DD1.1, DD1.2 соответствует длительности измеряемых импульсов.

В положении JV (см. рис. 68) (счетчик импульсов) входной сигнал посту­пает на вход Т БУ, с выхода Т он поступает на вход JV — на открытый вход формирователя VT2, VT3, VT6 (см. рис. 66), что позволяет считать положи­тельные импульсы практически любой длительности (начиная приблизительно от 100 не) по их фронтам. Прохождение импульсов через клапан DD1.1, DD1.2 обеспечивается установкой триггеров интегральной микросхемы DD5 в 1 переключателем SA1.4 (см. рис. 68).

Конструктивно частотомер собран на трех печатных платах толщиной 1,5 мм. На первой плате размером 60Xi,155 мм расположены все детали квар­цевого генератора, делителя и счетчика, включая газоразрядные индикаторы. Расположение проводников печатной платы приведено на рис. 70 (а — сторона установки микросхем, индикаторов и других деталей, б — противоположная сторона). На второй плате размером 80X155 мм (рис. 71) установлены все детали блока управления (см. рис. 66), высокочастотный делитель (см. рис. 69) и стабилизатор напряжения, схема которого приведена на рис. 72.


Плата изготовлена из фольгированного с двух сторон стеклотекстолита, на рис. 71 показаны проводники, расположенные со стороны, противоположной установке элементов. Со стороны установки элементов фольга сохранена це­ликом и выполняет роль общего провода, вокруг отверстий фольга раззенко-вана. На рис. 71 крестиками обозначены места паек элементов к фольге на стороне их установки. Некоторые резисторы подпаяны к общему приводу и проводникам на обратной стороне (R7, R9, R13, R18), отверстия в этом слу­чает не зенкуются. Это необходимо для соединения с общим проводом электродов транзисторов VT2, VT6, VT8, VT9, паять их непосредственно к фольге неудобно из-за малой длины их выводов и опасности перегрева.





Рис. 69. Схема высокочастотного делителя

Транзистор VT13 блока питания (см. рис. 72) установлен на ребристом алюминиевом радиаторе с размерами 25X40 в плате и высотой 30 мм. Ра­диатор установлен со стороны, противоположной стороне установки других элементов платы. .

Интегральная микросхема DD27 высокочастотного делителя вместе со все­ми элементами, расположенными между ней и входными контактами дели­теля, закрыта экраном из латуни толщиной 0,1 мм, припаянным непосредст­венно к фольге общего провода.

На третьей плате такого же размера, что и вторая, смонтированы все элементы преобразователя напряжения сети 220 В в постоянное 9 В 0,7 А, поступающее на стабилизатор, и 200 В 10 мА для питания индикаторов HG1 — HG6. Преобразователь описан в последнем резделе книги.

На передней панели частотомера из сплава Д16 размером 90X156 мм и толщиной 2 мм установлены сетевой выключатель, предохранитель, переклю­чатели SA1, SA2, SA4, кнопка SB3, входной разъем. Элементы R36 — R40, С22 установлены на контактах переключателей. Окно в панели закрыто изнутри пластиной цветного прозрачного органического стекла толщиной 3 мм. Перед­няя панель, печатные платы, задняя панель размером 82X156X2 мм из сила­ва Д16 соединены между собой латунными шпильками диаметром 5 мм, име­ющими резьбовые концы или отверстия М2.


Расстояние между передней па­нелью и первой платой 33 мм, между первой и второй платой 15 мм, между второй и третьей платой 33 мм, между третьей платой и задней панелью 5 мм. Полученная «этажерка» уложена на П-образное шасси и закрыта сверху П-образным кожухом. Шасси и верхняя сторона кожуха имеют отверстия для вентиляции. В шасси охлаждающий воздух входит в зазор высотой 8 мм меж-ДУ нижней частью задней панели и поверхностью, на которой стоит часто­томер.

Близкое расположение входного разъема, переключателя SA1 и входных контактов высокочастотного делителя позволило обойтись в монтаже частотомера без кабелей и экранированных проводников. С этой же целью обес-.печены малые сопротивление и индуктивность общего провода — соединение .передней панели со слоем сплошной металлизации второй печатной платы че­рез шпильки, соединение «земляного» лепестка входного разъема со слоем оплошной металлизации второй платы в районе входа высокочастотного делителя отрезком оплетки экраниро­ванного провода («плетенкой») минимальной длины. На слу­чай возникновения высокоча­стотных наводок и монтажа с использованием кабелей у .входов я выходов формирова­телей и высокочастотного де­лителя . предусмотрены точки для подключения экранов.



Рис. 70. Печатная плата счетчика и кварцевого генератора



Рис. 71. Печатная плата блока управления, высокочастотного делителя и стабилизатора



Рис. 72. Схема стабилизатора частотомера

В частотомере применены следующие детали: резисторы МТ-0,125 и МТ-0,25, конденса­торы КМ-5а и КМ-6, R53-4 (С8), неполярный К53-7 (С1), переключатели ПГ2-4-6П4Н (SA1) — можно заменить на ПГ2-,11-6П6Н, ПГ2-9-6П2Н (SA2), ПГ2-8-;12П4Н (SA4), кнопка KMl-1 (SB3), разъем СР-78Ф, дроссель ДМ-0,1 (L1).

Коммутационные элементы, конденсаторы и резисторы можно заменить деталями других типов, имеющими необходимые параметры, транзистор КТ816А — любым транзистором этой серии или серии КТ814, остальные тран­зисторы — ВЧ кремниевыми транзисторами соответствующей структуры (КТ312, КТ306 — VT6, VT9, КТ326, КТ3107 — VT2, VT3, VT8). Вместо диодов КД503А можно установить КД503Б, КД509А, КД522А, КД522Б, КД512А и другие кремниевые высокочастотные диоды.



Микросхемы К155ИЕ1 можно заменить на К155ИЕ2, все микросхемы се­рии К155 — аналогичными ИС серии К133, микросхемы К500 — на К10О. При переработке для этого случая печатной платы высокочастотного делителя сле­дует сохранить сплошной слой металлизации на стороне установки интеграль­ных микросхем и ширину шины питания не менее 7,5 мм.

Настройка частотомера заключается в установке резистором R60 (см. рис. 72) напряжения на выходе стабилизатора в пределах 5,1 — 5,2 В, подбо­ре сопротивления резистора R3 (см. рис. 66) для получения максимальной чувствительности формирователя на частоте 20 МГц и установке номинальной частоты кварцевого генератора подбором С14 и С15 (см. рис. 67).

При отсутствии микросхем серий К500 или К100 можно обеспечить воз­можность измерения частоты до 35 — 40 МГц установкой в частотомер одной ИС R131TM2 (К130ТМ2, К531ТМ2, К530ТМ2). Один из триггеров этой мик­росхемы включается в положении ВЧ SA1 между выходом клапана DD1.1 и входом счетчика, другой — между выходом DD4 и входом Т БУ (рис. 73). В этом случае переключатель SA1 необходимо заменить на ПГ.2-12-6П8Н. Вместо ИС К131ТМ2 можно использовать К131ТВ1 или К130ТВ1 (DD31.1) и К155ТВ1 (DD31.2). Входы С этих триггеров необходимо соединить с выхо­дом DD1.2 и с подвижным контактом SA4.1 соответственно. Все неиспользуе­мые входы триггеров соединить с источником +5 В через резистор R62.

Увеличения быстродействия до 70 — 80 МГц можно достигнуть, используя вместо DD31.1 два последовательно включенных триггера серии К520 или К531, а вместо DD31.2 — два триггера серии КД55. В этом случае, возмож­но, потребуется применение более высокочастотных транзисторов в формирова­теле (см. рис. 66) — КТ363 в качестве VT2, VT3, КТ316, КТ325, КТ355 на месте VT6.

    


Рис. 73. Схема включения ИС К.131ТМ2             Рис. 74. Схема включения регистра памяти

Исключить мерцание цифр в процессе счета можно введением между счетчиком и дешифратором регистра памяти на ИС R155TM5 или К155ТМ7.Импульс переписи на тактовые входы микросхем можно снять с выхода 6 DD2 (рис. 74). В этом случае переключатель SA2 целесообразно заменить тумблером, в одном положении которого (Авт.) вход Тиид БУ подключается к источнику +5 В через резистор 7,5 кОм, в другом — заземляется (Ручной пуск).



и примеров использования интегральных микросхем,



Приведенное в книге описание принципов и примеров использования интегральных микросхем, конечно, не может претендовать на полноту. В на­стоящее время появилось много новых комбинационных и последовательных интегральных микросхем, а также интегральных микросхем, которые не могут быть однозначно отнесены ни к одному из двух указанных типов — это ИС с триггерами Шмитта (К155ТЛ1, К155ТЛ2) и ждущие мультивибраторн (К155АГ1, К155АГЗ). Быстро развиваются серии интегральных микросхем ТТЛ с диодами Шотки (К530, К531, К533, К555), обладающие резко увеличенным быстродействием (К530, К531) или уменьшенной мощностью (К533, К555) по сравнению со стандартной серией К155. Однако многие описанные здесь об­щие принципы использования интегральных микросхем ТТЛ позволяют распро­странить их и на новые интегральные микросхемы, а некоторые особенности и возможности их применения упомянуты в этой книге.
Автор надеется, что книга поможет радиолюбителям не только успешно повторить описанные конструкции, но и творчески подойти к самостоятельной разработке и изготовлению многих полезных и интересных цифровых уст­ройств.

ЗНАКОГЕНЕРАТОР РАДИОЛЮБИТЕЛЬСКОГО ДИСПЛЕЯ


Современные цифровые вычислительные машины (ЦВМ) обычно снаб-жаютея устройствами, внешне напоминающими одновременно телевизор и пи­шущую машинку. Это так называемые дисплеи. Дисплеи позволяют опера­тивно осуществлять взаимодействие человека и ЦВМ.

В режиме вывода на экране дисплея может отображаться буквенная, циф­ровая, графическая информация, генерируемая ЦВМ. В режиме ввода опе­ратор с помощью специального светового пера и клавиатуры может вводить в любое место экрана (а следовательно, и в память ЦВМ) разнообразную ин­формацию, например различные буквы и цифры, линии, графические элементы,, поворачивать их, передвигать по экрану, стирать. Таким образом, оператор может начертить на экране электрическую схему или чертеж. После того как чертеж спроектированного устройства сформирован, он может быть проанали­зирован машиной, в результате чего на экран дисплея будут выведены пара­метры устройства. Если эти параметры удовлетворяют исходным требова­ниям, оператор с того же дисплея может дать команду на вывод комплекта, чертежей и на выпуск перфолент для станков с числовым программным уп­равлением.

Дисплей, как устройство отображения разнообразной информации, может использоваться в универсальном измерительном приборе, включающем осцилло­граф, генератор качающейся частоты, характериограф, цифровой частотемер, цифровой вольтомметр и др. Очень интересным может быть применение дисплея в трансивере радиолюбителя-коротковолновика. Дисплей в этом случае мо­жет содержать панорамную приставку, S-метр, индикатор расстройки, циф­ровую шкалу, часы, индикатор ориентации антенны.

Принципы отображения осциллограмм, частотных характеристик, харак­теристик полупроводниковых приборов и ламп на экране осциллоЕрафической трубки хорошо известны. Для индикации знаков в настоящее время исполь­зуются два основных метода: растровый и нерастровый (функциональный). В первом случае на экране формируется растр, а различные элементы изобра­жения создаются управлением яркостью луча, как и в обычном телевизоре.
Этот метод наиболее универсален, но требует применения большого объема­быстродействующей памяти.

При нерастровом методе луч последовательно вычерчивает отображаемые-элементы. Если эти элементы имеют произвольную конфигурацию, второй ме­тод не проще первого. В случае же отображения только одних цифр схема управления лучом получается достаточно простой и может быть выполнена в радиолюбительских условиях.

Для получения на экране цифр можно заставить луч обегать последсва­тельно по контурам расположенные рядом семисегментные матрицы — стили­зованные цифры 8. Тогда, «подсвечивая» определенные участки контуров, мож­но сформировать все арабские цифры и даже некоторые буквы. Поэтому блок формирования цифр, часто называемый знакогенератором, кроме генератора напряжения развертки, должен содержать устройство, определяющее, нужно или нет «подсвечивать» обегаемый в данный момент очередной элемент. Для этого коды, поступающие, например, от счетчиков, поочередно подают на пре­образователь двоично-десятичного кода (обычно 1 — 2 — 4 — 8) в код семисегмент-ного индикатора. Выходы преобразователя кода поочередно, синхронно с про­хождением луча по сегментам, управляют его включением и выключением.

Для поочередного подключения входов преобразователя кода к выходам счетчиков можно использовать мультиплексер или (так же как и в устрой­ствах динамической индикации) замкнутый в кольцо сдвигающий регистр.

Для того чтобы кроме цифр можно было индицировать на экране и другую ин­формацию, необходимо входы усилителей X, Y, Z дисплея через аналоговые коммута­торы подключать как к формирователям цифр, так и к нецифровым измерите­лям.

    


Рис. 80. Порядок обхода сегментов ма­трицы

Рис. 81. Временные диаграммы напря­жения в различных точках знакогене­ратора

Описываемый знакогенератор позволяет индицировать на экране осцилло-графической трубки 16 десятичных цифр. Порядок обхода лучом сегментов матрицы и эпюры управляющих движением луча сигналов показаны на рис. 80 и 81.


Принципиальная схема устройства приведена на рис. 82.

Импульсы с частотой 10 кГц с выхода промежуточного делителя цифро­вой шкалы генератора поступают на 8-разрядный двоичный счетчик, собран­ный на микросхемах DD8 и DD5. Первые три разряда счетчика (выводы 12, 9, 8 микросхемы DD8) управляют логическими элементами DD11, DD10.2, DD10.3, DD12, DD13. Входы этих элементов подключены к выходам счетчика непосредственно и через инверторы DD6.5, DD6.6, DD10.1.



Рис. 82. Схема знакогенератора радиолюбительского дисплея

На выходах 8 микросхем DD11, DD12, DD13 и 6 элемента DD10.3 фор­мируются импульсы в соответствии с диаграммами, приведенными на рис. 81. Эти импульсы через резисторы R7, R8, R9, R10 поступают на входы интегра­торов, выполненных на транзисторах VT2 и VT3. Глубокая частотно-зависимая отрицательная обратная связь через конденсаторы С4 и С9 переводит обычные усилители с общим эмиттером в режим интеграторов. Обратная связь ло постоянному току через резисторы R11, R12, R16, R17 стабилизирует рабочие точки интеграторов, а резисторы R13 и R18 предотвращают их самовозбужде­ние.

Выход интегратора канала X через резистор R20 подключен ко входу сум­матора на транзисторе VT4. На этот же вход через резисторы Rl — R4 пода­ются сигналы с 4 — 7-го разрядов счетчика (выводы 11 DD8 и 12, 9, 8 DD5), и на выходе сумматора (коллекторе транзистора VT4) формируется спадаю­щее ступенчатое напряжение, каждая из 16 ступенек которого имеет вид, по-жазанный на рис. 81,е. На рис. 81,в показана форма сигнала на выходе инте­гратора У (коллекторе транзистора VT2). В результате действия этих сигна­лов луч последовательно справа налево пробегает на экране по сегментам 16 матриц. Вначале погашенный луч проходит сегмент g (см. рис. 80), затем снова проходит тот же путь, будучи включен или выключен, после чего про­ходит последовательно сегменты f, а, b, с, d, e. Такой порядок движения лу­ча снижает требования к полосе пропускания усилителей отклонения и улуч-аиает качество начертания цифр, имеющих справа вертикальную линию из двух сегментов, особенно 1 и 7, так как эти сегменты чертятся без разрыва.



Наклон цифр достигается подачей на выход сумматора X сигнала с вы­хода интегратора Y через резистор R19.

Для последовательного опроса источников кода 16 индицируемых цифр использованы мультиплексеры DD1 — D.D4. Адресные входы мультиплексеров подключены к выходам 4 — 7-го разрядов счетчика. В результате на выходе инвертора DD6.1 поочередно формируются сигналы со входов DD1, подклю-чаем-ых к младшим разрядам соответствующих источников входных кодов. Коды других трех разрядов формируются на выходах инверторов DD6.2, DD6.3, DD6.4, входы которых подключены к выходам DD2 — DD4 (эти ИС на фис. 82 не показаны). Их входы DO — D15 подключают к соответствующим раз­рядам источников входного кода, входы 1, 2, 4, 8 — к выходам DDL

Двоично-десятичные коды индицируемых цифр поочередно подаются на входы DD7, преобразующей коды цифр в сигналы управления семисегментным индикатором. Сигналы с выходов DD7 с помощью мультиплексера DD9 син­хронно с прохождением луча по соответствующим сегментам подаются на базу транзистора VT1, коллектор которого через конденсатор подключен к катоду электронно-лучевой трубки (канал Z). В результате на экране фор­мируется изображение цифр, коды которых поступили на входы DD1 — DD4. Если входы, соответствующие какой-либо цифре, не подключены к источни­ку кода, что эквивалентно логической 1 во всех разрядах входного кода, в момент прохождения луча по контуру этой цифры на всех выходах DD7 бу- . дут уровни логической 1, транзистор VT1 выключится, луч погаснет и этой щифры на экране не будет. Это позволяет сформировать необходимые после­довательности знаков, разделенные интервалами.

Сигнал с выхода И интегральной микросхемы DD5 может использовать­ся для управления коммутатором (см. с. 70).

Микросхемы серии К155, использованные в устройстве формирования «цифр, можно заменить аналогичными ИС серии К133. Транзисторы VT2 и VT3 должны иметь h21 э не менее 100.

При сборке устройства резисторы, помеченные на рис. 82 звездочкой, и кодценсаторы С2 и СЗ не устанавливают.


Конденсаторы С6 и С7 располага­ющ в противоположных углах монтажной платы.

Налаживание начинают с проверки работы счетчика — на каждом из по- слеДующих выводов 12, 9, 8, 11 интегральной микросхемы DD8 и 12, 9, 8, И интегральной микросхемы DD5 частота должна уменьшаться вдвое по сравне­нию с предыдущим. Форма сигналов на выводах 5 DD11 — DD13 и 6 DD10 должна соответствовать показанной на рис. 81.

Подбирая резисторы Rll, R12, R16, R17, устанавливают постоянное на­пряжение на коллекторах транзисторов VT2 и VT3 в пределах 2,4 — 2,6 В. При этом номинальные значения резисторов R11 и R12, R16 и R17 должны разли­чаться между собой не более чем в 1,5 раза.

Установив конденсаторы С2 и СЗ, проверяют по осциллографу соответ­ствие формы напряжения на коллекторах транзисторов VT2 и VT3 приведенной на рис. 81 (на коллекторе транзистора VT3 оно должно быть инверсно). Если размах напряжения от пика до пика выходит за пределы 1,5 — 2,5 В, сле­дует подобрать конденсаторы С4 и С9. Небольшой наклон плоской части им­пульсов роли не играет.

Подключив вместо постоянного резистора R22 переменный, устанавлива­ют постоянную составляющую напряжения на коллекторе транзистора VT4 в пределах 2,4 — 2,6 В. Подключают выходы X и Y ко входам соответствущих усилителей отклонения дисплея или осциллографа, в результате чего на экране должно возникнуть изображение цифры 8. Установив необходимые раз­меры цифры изменением коэффициента усиления каналов, впаивают резистор R4, при этом на экране должно появиться две цифры. Подбором резистора R4 устанавливают расстояние между цифрами примерно равным ширине циф­ры. Затем впаивают последовательно резисторы R3, R2, R1. При этом на экра­не формируется соответственно 4, 8 и 16 цифр. Подбором резисторов R1 и R2 можно сформировать на экране группы цифр — две группы по 8 цифр, четыре группы по 4 и т. д. Вместе с использованием возможности гашения отдельных цифр это позволяет получить разнообразные сочетания знаков.

В процессе подбора резисторов Rl — R4 постоянное напряжение на коллек­торе транзистора VT4 следует корректировать регулировкой резистора R22. После настройки его заменяют постоянным.

Наклон цифр устанавливают подбором резистора R19.

Подключив выход 2 к модулятору электронно-лучевого индикатора и под­ведя ко входам мультиплексеров соответствующие коды, проверяют работу устройства в целом.

При указанном на рис. 82 порядке подключения входов мультиплексеров к статическому регистру памяти цифровой шкалы на ИС К155ТМ5 (рис. 76) и к счетчикам электронных часов на экране дисплея будут сформированы строка из трех групп по две цифры (часы, минуты и секунды) и группа из шести цифр (частота работы трансивера).

ГЕНЕРАТОРЫ ДЛЯ МУЗЫКАЛЬНЫХ ИНСТРУМЕНТОВ